24小时数字钟报告

合集下载

电子综合实验报告——数字钟范文

电子综合实验报告——数字钟范文

电子综合实验报告——数字钟一、实验题目:数字钟二、实验内容:设计一个带闹钟功能的24小时计时器。

它包括以下几个组成部分:①显示屏,由8个七段数码管组成,用于显示当前时间(时:分:秒)或正在设置的当前时间;② Time键、HSet键、MSet键分别用来启动设置时钟的时、分;③复位键,用来还原时钟到初始默认值;④ Alarm键,用于启动设置闹钟时间;⑤ A键组,用于输入2进制闹钟时间;⑥扬声器(这里用一个发光二极管代替),在当前时钟时间与闹钟时间相同时,发出蜂鸣声(二极管亮)。

主要功能:(1) 计时功能:这是本数字闹钟设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。

(2) 闹钟功能:如果当前时间与设置的闹钟时间相同,则“扬声器”发出“蜂鸣声”(二极管亮)。

(3) 设置新的数字钟时间:用户用Time键、HSet键、MSet键对当前时间进行修改。

(4) 设置新的闹钟时间:用户用Alarm键及A键组对闹钟时间进行修改。

(5) 显示所设置的闹钟时间:A键组直接展示输入的闹钟时间。

三、设计方案根据系统的设计要求,整个系统分为4个模块:时间计数器、闹钟寄存器、分频器、数码管显示模块。

功能介绍:(1) 时间计数器:实际上是一个异步复位、异步置数的累加器,通常情况下进行时钟累加计数,必要时可置入新的时钟值,然后从该值开始新的计数。

(2) 闹钟寄存器:用于保存用户设置的闹钟时间,并可设置新的闹钟时钟时间并判断当前时间是否等于闹钟时间。

(3)分频器:把板上输入的6MHz的频率分为1Hz和1kHz,1Hz用于数码管显示,1kHz用于时钟计时。

(4)数码管显示模块:用来显示闹钟时间或当前时间。

设计思路:顶层RTL图:(一)时间计数器:在正常计时,前面计数器的cout(进位端)作为下一个计数器的信号。

当修改当前时间时,选用频率更快的信号,使所显示的时间的值较快的达到要设定的值。

此处用一个2选1的选择器来选择适当的信号。

单片机课程设计数字钟实验报告

单片机课程设计数字钟实验报告

单片机课程设计:电子钟一、实现功能1、能够实现准确计时,以数字形式显示时、分、秒的时间。

2、小时以24小时计时形式,分秒计时为60进位,能够调节时钟时间。

3、闹钟功能,一旦走时到该时间,能以声或光的形式告警提示。

4、能够实现按键启动与停止功能。

5、能够实现整点报时功能。

6、能够实现秒表功能。

二、设计思路1、芯片介绍VCC:电源。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL 门电流。

当P1口的管脚第一次写1时,被定义为高阻输入。

P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。

P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。

在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。

并因此作为输入时,P2口的管脚被外部拉低,将输出电流。

这是由于内部上拉的缘故。

P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。

在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。

P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。

当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。

作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3口也可作为AT89C51的一些特殊功能口,如下表所示:口管脚备选功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)P3.3 /INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号。

数字钟实验报告

数字钟实验报告

实验报告课程名称:电子线路与数字逻辑学生姓名:许一学号:8003117103专业班级:信息安全173班2018年 1 月10 日南昌大学实训报告学生姓名:许一学号:8003117103 专业班级:信息安173班实训类型:□验证□综合√设计□创新实训日期:2018.1.10 实验成绩:一、实验项目名称可循环可调零数字钟逻辑电路模拟设计二、实验目的1.熟悉各种数字电路元件的组合应用2.学习了解数码管、译码器及一些电路器件的逻辑功能和使用方法。

3.学习掌握数字钟的设计及工作原理。

熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法。

4.学习熟练使用protel软件进行电子线路的原理线路设计。

三、实训要求1.设计一个二十四小时制的数字钟,时、分、秒分别为二十四进制、六十进制、六十进制。

2.数字钟能够准确地计时,并且能够调零3.数字钟可以循环计时,并且可以单独对时进位。

4.数字钟可以调节时间三、实训基本原理(附原理框图、原理图)数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。

振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。

秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。

原理框图实际接线图从上图可知,数字钟由以上各部分电路组成。

振荡器产生的1Hz 的脉冲作为数字钟的标准秒脉冲。

秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照二十四进制计数。

计数器的输出经译码器送显示器。

校时电路可分别对时、分进行单独校时,以达到标准时间。

由框图可知电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。

下面将对各部分电路进行设计:数字钟接线图五、主要仪器设备、软件及耗材软件:ISIS 7 Professional74LS161 7片74LS138 1片74LS151 5片74LS48 1片74LS00 7片SW-ROT-3 1个六、实训步骤1.按图1用所需集成电路芯片及电路元件连接好电路,制成数字钟,并检查电路是否正确连接。

数字电子钟实验报告

数字电子钟实验报告

《开放创新实践1》报告题目:数字电子钟学年:2014-2015学期: 1专业:电子信息工程班级:电子131学号:姓名:李佳斌学号:姓名:陈锦锋指导教师:王阳时间:2014年12 月21日~2015年1 月4 日浙江万里学院电子信息学院一、设计要求和指标1、用24小时小时制进行时间显示。

2、能显示时、分、秒。

3、校时功能(2HZ信号调时)。

4、整点报时(发生在00时00分),红灯亮5秒。

二、原理总框图和设计思路说明数字钟主要分为数码显示器、60进制和24进制、频率振荡器、校时电路和报时电路几个部分。

数字钟要完成显示徐璈6个数码管,八段的数码管需要译码器才能显示,然后要实现时分秒的计时需要60进制和24进制计数,本次实验中我们采用74192芯片来实现。

在仿真软件中的发生信号可用函数发生器仿真。

电子钟需要2HZ 和1HZ的信号,此处我们用7476来实现对8HZ信号的分频。

三、各分模块设计与实现1、显示模块的设计与实现因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。

我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。

7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。

该集成显示译码器设有多个辅助控制端,以增强器件的功能。

7448的功能表如下表所示,它有3个辅助控制端LT、RBI、BI/RBO。

其电路图如下2、计数模块的设计与实现原理说明。

十进制可逆计数器74192是同步可预知4位计数器,其引脚排列如下图所示,LD是预置控制端,A、B、C、D是预置输入端UP、DOWN是加法、减法脉冲输入端,BO为5输出端,CO为进位输出端。

电路图(60进制)(24进制)其仿真图如下由仿真图可看出,十位(Q2)从0到5,个位(Q1)从0到9,即从00计数到59后又转回到00,刚好是60进制加法计数器的工作规律3、时钟脉冲模块的设计与实现1)分频模块的设计原理电子钟的分频是需要2Hz与1Hz的频率。

数字钟实验报告5篇范文

数字钟实验报告5篇范文

数字钟实验报告5篇范文第一篇:数字钟实验报告数字钟实验报告班级:电气信息i类112班实验时间:实验地点:指导老师:目录一、实验目的-----------------3二、实验任务及要求--------3三、实验设计内容-----------3(一)、设计原理及思路3(二)、数字钟电路的设计--------------------------4(1)电路组成---------4(2)方案分析---------10(3)元器件清单------11四、电路制版与焊接---------11五、电路调试------------------12六、实验总结及心得体会---13七、组员分工安排------------19一、实验目的:1.学习了解数码管,译码器,及一些中规模器件的逻辑功能和使用方法。

2.学习和掌握数字钟的设计方法及工作原理。

熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法。

3.了解pcb板的制作流程及提高自己的动手能力。

4.学习使用protel软件进行电子电路的原理图设计、印制电路板设计。

5.初步学习手工焊接的方法以及电路的调试等。

使学生在学完了《数字电路》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,学会检查电路的故障与排除故障的一般方法锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。

二、实验任务及要求1.设计一个二十四小时制的数字钟,时、分、秒分别由二十四进制、六十进制、六十进制计数器来完成计时功能。

2.能够准确校时,可以分别对时、分进行单独校时,使其到达标准时间。

3.能够准确计时,以数字形式显示时、分,发光二极管显示秒。

4.根据经济原则选择元器件及参数;5..小组进行电路焊接、调试、测试电路性能,撰写整理设计说明书。

三、实验设计内容1、设计原理及思路 3.1数字钟的构成数字钟一般由振荡器、分频器、计数器、译码器、显示器、较时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路3.2原理分析数字钟实际上是一个对标准频率(1hz)进行计数的计数电路。

24小时数字钟报告

24小时数字钟报告

24小时数字钟的设计与仿真报告目录目录 (1)前言.............................................................................................. 错误!未定义书签。

内容摘要............................................................ 错误!未定义书签。

设计要求............................................................ 错误!未定义书签。

第一章方案设计........................................................................ 错误!未定义书签。

第二章硬件设计及仿真............................................................ 错误!未定义书签。

2.1振荡器的设计 ............................................. 错误!未定义书签。

2.2分频器的设计 ............................................. 错误!未定义书签。

2.3时间计数器的设计 ..................................... 错误!未定义书签。

2.3.1六十进制计数器 ................................ 错误!未定义书签。

2.3.2二十四进制计数器 ............................ 错误!未定义书签。

2.4译码器与显示器的设计 ............................. 错误!未定义书签。

2.5校时电路 ..................................................... 错误!未定义书签。

多功能数字时钟设计报告

多功能数字时钟设计报告

多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。

具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。

(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。

(3)整点报时:当时钟计时到整点时,能进行整点报时。

(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。

多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。

另外采用了总线的电路连线方式使电路图简洁美观。

1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。

数字钟实验报告课件

数字钟实验报告课件

东北师范大学物理学院实验报告学生姓名学号班级专业题目指导教师2016 年 3 月一、设计指标1. 显示时、分、秒。

2. 以24小时制为一周期。

3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。

校时时钟源可以手动输入或借用电路中的时钟。

4. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号二、设计方框图数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

三、元器件介绍1. 74LS00 与非(图2)图22. 74LS00 与门(图3)图3 74LS00管脚图3.74LS390(图4),十进制加数器图4 74LS390管脚图图5 74LS51管脚图输入 输出 A B Y 0 0 1 0 1 1 1 0 1 1 1输入输出A B Y 0 0 0 0 1 0 1 0 0 1114.74LS51(图5)5.CD4060(图6)6.74LS74 (图7)7.74LS47 (图8),译码器图6 CD4060管脚图图7 74LS74管脚图图8 74LS47管脚图三、设计原理1、各功能模块电路的设计(用Multisim仿真)(1)晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

如图(b )所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。

输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。

电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。

数字时钟设计实验报告

数字时钟设计实验报告

电子课程设计题目:数字时钟数字时钟设计实验报告一、设计要求:设计一个24小时制的数字时钟。

要求:计时、显示精度到秒;有校时功能。

采用中小规模集成电路设计。

发挥:增加闹钟功能。

二、设计方案:由秒时钟信号发生器、计时电路和校时电路构成电路。

秒时钟信号发生器可由振荡器和分频器构成。

计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。

校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。

三、电路框图:时计数分计数秒计数图一数字时钟电路框图四、电路原理图:(一)秒脉冲信号发生器秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。

由振荡器与分频器组合产生秒脉冲信号。

振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz脉冲。

分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。

其电路图如下:图二秒脉冲信号发生器(二)秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。

60进制——秒计数器秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。

当计数到59时清零并重新开始计数。

秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。

个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。

利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。

其电路图如下:图三 60进制--秒计数电路60进制——分计数电路分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。

数字钟报告

数字钟报告

数字钟报告
数字钟报告
日期: [日期]
报告人: [报告人姓名]
1. 引言
在本报告中,我将介绍数字钟的工作原理、应用领域以及一
些常见的数字钟类型。

数字钟是一种使用数字显示时间的钟表,相比于传统的模拟时钟,数字钟具有更准确的时间显示和更多的功能。

2. 工作原理
数字钟使用数字显示时间,这是通过一个数字显示器实现的。

数字显示器通常使用七段显示技术,即将数字按照七段形状的LED灯排列来显示。

每个数字都可以由七段灯亮灭的组合来
表示,从而显示出当前的时间。

3. 应用领域
数字钟广泛应用于各个领域,包括家庭、办公室、学校和公
共场所。

数字钟除了显示时间之外,还可以显示日期、闹钟、定时器等信息,给人们带来更多的便利和功能。

4. 常见的数字钟类型
4.1 数字时钟:仅显示当前的时间,没有其他功能。

4.2 闹钟:在指定时间响起警报声,提醒人们起床或者进行
某项活动。

4.3 定时器:可以设置倒计时,用于计时烹饪、运动等活动。

4.4 多功能数字钟:除了显示时间之外,还具有显示日期、
天气、温度等功能。

5. 结论
数字钟在现代生活中扮演着重要的角色,它的准确性、功能
和便利性使其成为人们生活中不可或缺的一部分。

随着科技的不断发展,数字钟也在不断创新和改进,为我们带来更多的便利和功能。

vhdl实验报告--数字钟

vhdl实验报告--数字钟

VHDL实验报告一、实验目的1、设计一个24小时制数字钟,要求能显示时,分,秒,并且可以手动调整时和分。

2、通过复杂实验,进一步加深对VHDL语言的掌握程度。

二、实验原理数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分为模60计数器,小时是模24计数器,分别产生3位BCD码。

BCD码经译码,驱动后接数码管显示电路。

秒模60计数器的进位作为分模60计数器的时钟,分模60计数器的进位作为模24计数器的时钟。

为了实现手动调整时间,在外部增加了setm(调整分),seth(调整时)按键,当这两个按键为低电平时,电路正常计时,当为高电平时,分别调整分,时。

同时在外部还增加了一个清零按键clr.和消抖动电路。

三、实验步骤1、单元模块设计部分1)消抖动电路关键部分signal key_in1,key_in2:std_logic:='0';beginprocess(clk,key_in)beginif clk'event and clk='1' thenkey_in1<=key_in;key_in2<=key_in1;if key_in='1' and key_in1='1' and key_in2='1' then key_out<='1';else key_out<='0';end if;2) 模60计数器程序关键部分:signal md_temp,mg_temp:std_logic_vector(3 downto 0);beginprocess(clk,clr)beginif clr='1' thenmd_temp<="0000"; mg_temp<="0000";elsif set='1' thenmd_temp<=setl; mg_temp<=seth;elsif clk'event and clk='1' thenif md_temp="1001" thenmd_temp<="0000";mg_temp<=mg_temp+'1';else md_temp<=md_temp+'1';if md_temp="1001" and mg_temp="0101" thenmd_temp<="0000";mg_temp<="0000";2、模24计数器程序关键部分signal hd_temp,hg_temp:std_logic_vector(3 downto 0);beginprocess(clk,clr,set,setl,seth)isbeginif set='1' then hd_temp<=setl; hg_temp<=seth;elsif clr='1' then hd_temp<="0000"; hg_temp<="0000";elsif clk'event and clk='1' thenif hg_temp="0010" and hd_temp="0011" thenhd_temp<="0000"; hg_temp<="0000";elsif hd_temp="1001" thenhg_temp<=hg_temp+'1' hd_temp<="0000";else hd_temp<=hd_temp+'1';end if;end if;end process ;3、清零和调时部分显示部分关键程序process (sd,sg,md,mg,hd,hg)begincase sd iswhen "0000" =>sl<="1111110";when "0001" =>sl<="0110000";when "0010" =>sl<="1101101";when "0011" =>sl<="1111001";when "0100" =>sl<="0110011";when "0101" =>sl<="1011011";when "0110" =>sl<="1011111";when "0111" =>sl<="1110000";when "1000" =>sl<="1111111";when "1001" =>sl<="1111011";when others =>sl<="0000000";end case;if clk_g'event and clk_g='1' thenif sel="101" thensel<="000";else sel<=sel+'1';end if;end if;process(sel,sd,sl,sg,sh,md,ml,mg,mh,hd,hl,hg,hh)begincase sel iswhen"000"=>led<=sl;led_which<=sd;when"001"=>led<=sh;led_which<=sg;when"010"=>led<=ml;led_which<=md;when"011"=>led<=mh;led_which<=mg;when"100"=>led<=hl;led_which<=hd;when"101"=>led<=hh;led_which<=hg;when others=>led<="0000000";led_which<="0000";end case;4、顶层文件关键程序port(clk,clk_g:in std_logic;-----clk_g是用在数码管显示里面的信号clr: in std_logic;------clr=1时清零setm,seth:in std_logic;---------setm为1时调分,seth为1时调时setd,setg:in std_logic_vector(3 downto 0);----调整时间的时候,setd调整的是低位setg 调整高位led:out std_logic_vector(6 downto 0);sel_out: out std_logic_vector(2 downto 0);led_which: out std_logic_vector(3 downto 0));---输出的是秒分时的哪一个beginu1:de_shake port map (clk=>clk,key_in=>clr,key_out=>clro);u2:de_shake port map (clk=>clk,key_in=>setm,key_out=>setmo);u3:de_shake port map (clk=>clk,key_in=>seth,key_out=>setho);u4:s60 port map (clk=>clk,clr=>clro,sd=>sdl,sg=>sgh,fenmaichong=>fenmaichong o);u5:m60 port map (clk=>fenmaichongo,clr=>clro,md=>mdl,mg=>mgh,xiaoshimaichong=> xiaoshimaichongo,setl=>setd,seth=>setg,set=>setmo);u6:h24 port map (clk=>xiaoshimaichongo,clr=>clro,hd=>hdl,hg=>hgh,set=>setho,se tl=>setd,seth=>setg);u7:led_xs port map (clk_g=>clk_g,sd=>sdl,sg=>sgh,md=>mdl,mg=>mgh,hd=>hdl, hg=>hgh,led=>led,sel_out=>sel_out,led_which=>led_which);四、实验结果及分析本设计,满足了本次试验设计的任务要求,能显示时分秒,并且可以手动调节分和时。

数字电子钟实验报告

数字电子钟实验报告

一、设计目的1、熟悉集成电路的引脚安排。

2、掌握各芯片的逻辑功能及使用方法。

3、了解面包板结构及其接线方法。

4、了解数字钟的组成及工作原理。

5、熟悉数字钟的设计与制作。

二、设计技术参数1、时制式为24小时制。

2、采用LED数码管显示时、分,秒采用数字显示。

3、具有方便的时间调校功能,使其校正到标准时间。

4、其它附加功能(显示星期、报时、停电查看时间)。

三、设计原理及其框图1.数字钟的构成[电路原理图附在后面]数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和一些显示星期、报时、停电查看时间等附加功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

该电路系统由秒信号发生器、“时、分、秒、星期”计数器、译码器及显示器、校时电路、整点报时电路组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现,现用555定时器实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。

整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。

校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。

附图 SHJ-1所示为数字钟的一般构成框图。

1)555定时器电路555定时器电路给数字钟提供一个频率稳定准确的方波信号,本设计提供R1为10KΩ,R2为5KΩ, C为150 nF,由f=1.43/{(R1+2*R2)*C}可得其提供的频率为477Hz。

24小时制时钟—EDA课程设计报告

24小时制时钟—EDA课程设计报告

石家庄铁道大学EDA课程设计报告24小时制数字钟学院电气与电子工程学院专业电子信息专业班级电0904-2班学号 20092360 学生姓名指导教师赵晓博课程成绩完成日期 2012年3月30日课程设计任务书电气与电子工程学院电子信息专业课程名称EDA课程设计时间2011~2012学年第二学期2~6周学生姓名指导老师赵晓博题目数字钟的设计与制作主要内容:本课程设计主要是利用硬件描述语言VHDL的设计思想,采用自顶向下的方法、划分模块来设计数字钟的几个模块。

通过课程设计深入理解计算机的基本原理和方法,加深对计算机组成的理解。

要求:1.具有时、分、秒计数显示功能,且以24小时循环计时。

2.具清零的功能,且能够对计时系统的小时、分钟进行调整。

3.具有整点报时功能。

扩展:1.设置启/停开关。

当按下启/停开关,将启动时钟开始计时,当再按一下启/停开关时,将终止计时操作。

2.计时精度为1s。

3.复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备。

4.随意给定频率能分频至1s。

数字钟的设计与制作学生姓名:指导老师:赵晓博摘要系统使用EDA技术设计了数字钟,采用硬件描述语言VHDL按模块化方式进行设计,然后进行编程,时序仿真等。

利用VHDL语言完成了数字钟的设计。

该数字钟能实现时、分、秒计数的显示功能,且以24小时循环计时,具清零的功能,且能够对计时系统的小时、分钟进行调整,具有整点报时功能。

整个系统使用方便,功能齐全,精度高,具有一定的开发价值。

关键字数字钟;EDA;VHDL;目录1引言 (5)1.1课题的背景、目的 (5)1.2设计的内容 (5)2 EDA、VHDL简介 (6)2.1EDA技术 (6)2.2硬件描述语言——VHDL (6)★VHDL的简介 (6)★VHDL语言的特点 (7)★VHDL的设计流程 (7)3 数字钟设计 (8)3.1数字钟的工作原理 (8)3.1数字钟的实现流程 (8)3.2晶体振荡器 (12)3.3分频电路 (12)3.2部分程序实现 (12)4 系统仿真 (22)1.小时24制电路仿真图 (22)2.分钟59电路仿真图 (23)3.秒59制电路仿真图 (23)4.59转码电路仿真图 (233)5.24转码电路仿真图 (24)6.动态扫描电路仿真图 (24)7.整点报时电路仿真图 (25)结束语 (26)致谢 (26)参考文献 (26)附录 (27)1 引言随着社会的发展,科学技术也在不断的进步。

数字电子钟 实验报告

数字电子钟 实验报告

课题一数字电子钟电子钟是一种高精度的计时工具,它采用了集成电路和石英技术,因此走时精度高,稳定性能好,使用方便,且不需要经常调校。

电子钟根据显示方式不同,分为指针式电子钟和数字式电子钟。

指针式电子钟采用机械传动带动指针显示;而数字式电子钟则是采用译码电路驱动数码显示器件,以数字形式显示。

这些译码显示器件,利用集成技术可以做的非常小巧,也可以另加一定的驱动电路,推动霓红灯或白炽灯显示系统,制做成大型电子钟表。

因此,数字式电子钟用途非常广泛。

一、课程设计(综合实验)的目的与要求设计一个具有如下功能的数字电子钟:1.基本功能(1)能直接显示时、分、秒;(2)能正确计时,小时采用二十四进制,分和秒采用60进制;(3)有校时功能,手动调整时、分;2.扩展功能(1)能进行24小时整点报时,要求从59分50秒开始,每2秒钟响一声,共响5次;每响一次声音持续0.5秒。

(2)要求只在6--22点之间每整点报时,23--5点之间整点不报时;(3)具有任意几点几分均可响铃的闹钟控制电路。

响铃1分钟,可人为通过开关使响铃提前终止;二、设计(实验)正文数字电子钟实际上是一个对标准频率(1HZ)进行计数并通过数码管显示的计数电路,由于计数的起始时间与标准时间(如北京时间)不一致,故需要在电路上加一个校时电路。

标准的1HZ时间信号必须准确稳定,可以使用555定时器设计1HZ的振荡电路。

时间计数电路由秒计数器(个位,十位)、分计数器(个位,十位)电路构成,秒个位和秒十位计数器、分个位和分十位计数器均为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。

1.系统原理框图如下:2.1 分、秒计时器分、秒计时器均为60进制计数器,当秒计时器接受到一个秒脉冲时,秒计数器个位开始从1计数到9,同时在个位计数产生进位时将进位接秒计数器的十位计数器CLK,此时秒显示器将显示00、01、02、...、59、00;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示00、01、02、...、59、00,当分计数器产生进位时,将会在进位端产生高电平,进而触发电路,驱动蜂鸣器,起到整点报时的功能。

数字时钟实验报告

数字时钟实验报告

电子技术课程设计报告实验题目:数字时钟姓名:学号:学院:专业:年级:指导教师:目录一、实验要求 .............................................................二、设计步骤 .............................................................1、1 K Hz信号发生器....................................2、分频器…………………………………….…….…………3、计数器.........................................................4、校时电路……………………………………………….…5、闹钟………….………………………………………………三、功能测试及总结................................................四、收获和体会………………………………………五、参考文献…………………………………………一、 实验要求基础要求:设计一个24小时制的数字时钟,要求计时、显示精度到秒;有校时功能;采用中小规模集成电路设计。

发挥: 增加闹钟功能二、 设计步骤基本功能电路框图1、 1 K Hz 信号发生器采用由集成电路定时器555与RC组成的多谐振荡器。

如图3-4-1所示。

设振荡频率f=1KHz,R为可调电阻,微调R1可以调出1KHz输出。

2、分频器由于1 K Hz信号发生器产生的频率很高,要得到秒脉冲,需要分频电路。

本实验由集成电路定时器555与RC组成的多谐振荡器,产生1KHz的脉冲信号。

故采用3片中规模集成电路计数器74LS160来实现,得到需要的秒脉冲信号3、计数器秒脉冲信号经过6级计数器,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时。

“秒”“分”计数器为六十进制,小时为十二进制。

24时制数字时钟实验报告

24时制数字时钟实验报告

自动检测技术及应用转速测量仪的设计专业:[电子信息工程]学生姓名:[ 李智明]学号:[ 101100007 ]完成时间:2019年10月10日一、实验目的 (1)二、所用实验仪器设备、耗材 (1)三、电路总体结构 (1)四、整形电路单元工作原理 (3)五、STC12C5A60S2单片机原理 (3)六、复位和时钟电路的设计 (4)七、显示电路设计 (5)八、程序与工作流程 (5)九、实验结果分析 (10)十、总结 (11)一、实验目的能用数字显示转速,测量范围0 ~2000转二、所用实验仪器设备、耗材霍尔或光电传感器、传感器信号处理电路、单片机自动检测系统、LED 数码管显示、计算机、keil 开发软件、STC 下载工具软件、ISP 下载线。

数字示波器。

三、电路总体结构系统工作原理:由霍尔元件及外围器件组成的测速电路将电动机转速转换成脉冲信号,送至单片机AT89C51的计数器 T0进行计数,用T1定时测出电动机的实际转速。

此系统使用单片机进行测速,采用脉冲计数法,使用霍尔传感器获得脉冲信号。

其机械结构也可以做得较为简单,圆盘上有几个磁钢,机轴每转一周,产生几个脉冲,机轴旋转时,就会产生连续的脉冲信号输出。

由霍尔器件电路部分输出,成为转速计数器的计数脉冲。

控制计数时间,即可实现计数器的计数值对应机轴的转速值。

单片机将该数据处理后,通过七段数码管显示出来。

1) 霍尔传感器测速原理:霍尔器件是由半导体材料制成的一种薄片,器件的长、宽、高分别为 l 、b、d。

若在垂直于薄片平面(沿厚度 d)方向施加外磁场B,在沿l方向的两个端面加一外电场,则有一定的电流流过。

由于电子在磁场中运动,所以将受到一个洛仑磁力,其大小为:qVB f =式中:f —洛仑磁力, q—载流子电荷, V—载流子运动速度, B—磁感应强度。

这样使电子的运动轨迹发生偏移,在霍尔元器件薄片的两个侧面分别产生电子积聚或电荷过剩,形成霍尔电场,霍尔元器件两个侧面间的电位差H U 称为霍尔电压。

数字时钟设计报告

数字时钟设计报告

数字时钟设计报告数字时钟是一种可以显示时间的电子设备。

它可以显示小时、分钟和秒,并且可以按照24小时或12小时的格式显示时间。

数字时钟常常在家庭、办公室和公共场所等地方使用,其设计需要考虑到易用性、精度、可靠性和美观性等方面。

本报告将介绍数字时钟的设计,包括设计目的、设计思路、硬件设计和软件设计,以及测试结果和最终设计的优缺点等方面。

设计目的设计一个数字时钟,以满足以下需求:1. 精度高:时钟需要准确地显示时间,并且保持时间的精度。

2. 易使用:时钟需要简单易用,按钮操作简单明了。

3. 显示美观:时钟需要提供清晰明亮的数字显示,以及美观的外观设计。

4. 可靠性高:时钟需要具有稳定的电路设计,防止电路失效或出现故障的情况。

5. 低功耗:时钟需要具有低功耗的特点,以便延长电池寿命或减少电费开支。

设计思路数字时钟的设计需要考虑到硬件和软件两个方面。

硬件方面需要设计电路板、显示屏、时钟芯片、电源等部分,软件方面需要编写程序代码,以便控制电路板上的各模块进行工作。

我们的设计思路如下:1. 硬件设计显示屏:我们选择了四位共阴数码管,可以清晰地显示小时、分钟和秒。

驱动芯片:我们使用了MAX7219芯片来驱动数码管显示,该芯片可以提供高亮度输出、扫描控制以及LED引脚等功能。

时钟芯片:我们使用DS1302时钟芯片来保存和更新时间,该芯片可以提供秒计时、闹钟、电压监测等功能。

按钮:我们设置了四个按钮来控制时间的调整和闹钟的设置,方便用户使用。

2. 软件设计我们使用了Arduino开发板来实现数字时钟的控制程序,程序主要包括以下功能:1. 时钟控制:程序通过DS1302时钟芯片获取当前时间并将其显示在数码管上,同时每秒钟更新一次时间。

2. 时钟调整:用户可以通过按下按钮来调整小时、分钟和秒数,并将修改后的时间保存在DS1302芯片中。

3. 闹铃控制:用户可以通过按下按钮来设置闹铃时间,并在闹铃时间到达时启动蜂鸣器进行提示。

数字时钟报告

数字时钟报告

厦门工学院数字逻辑系统课程设计报告题目:数字时钟设计专业、班级:13级通信3班学生姓名:董荣学号:1302303009指导教师:刘玉玲分数:2015年月日任务书目录一、基本要求 (1)二、设计方案选取与论证 (1)2.1原理框图 (1)2.2设计思路 (2)三、电路设计与仿真 (2)3.1所需芯片资料 (3)3.2秒信号发生电路 (5)3.3时钟显示电路 (6)3.4校时电路 (7)3.5整点报时电路 (8)3.6总电路图 (9)3.7 PCB图 (9)3.8 3D预览 (10)四、制作及调试过程 (11)五、心得体会 (12)一、基本要求1、时钟:24小时制;2、能同时显示时钟、分钟和秒钟;3、具备时、分、秒三级校时功能;4、接入电源后,时钟能自动运动显示时间;5、能够进行整点报时;二、设计方案选取与论证1、原理框图2、设计思路时间显示模块电路:我用了CD4511一个用于驱动共阴极LED(数码管)显示器的BCD码—七段码译码器以及十进制计数器74LS160来作为时间显示模块。

但是74LS160只有一个CP脉冲输入端,在进行校时时会出现高位校时干扰低位显示的情况,在经过一番考虑思索以后,我用了两个二极管来解决这一情况。

二极管具有单向导电性,在进行校时时产生的低电位不会再影响低位的CP脉冲输入端,从而不会影响其时间显示。

整点报时模块电路:在每小时的59分50~59秒的时候,分位产生的信号的BCD码是0101 1010,秒位产生的BCD码是0101,100X(X表示0或1)我们可以把这些产生高电平的位接在CD4068(CD4068是8输入与/与非门)的6个输入引脚上,再把X这一位也接在CD4068上从而产生一个频率为2HZ的方波来驱动蜂鸣器使蜂鸣器发出“嘀、嘀、嘀”的响声。

以实现整点报时功能。

秒信号发生器:555芯片可以接成多谐振荡器,通过调节所使用的电阻和电容的值可以改变555芯片输出方波的频率,当调到合适的值时,可以使它恰好输出频率为1HZ的方波作为秒信号使用。

数字钟设计报告——数字电路实验报告

数字钟设计报告——数字电路实验报告

数字钟设计实验报告专业:工程技术系班级:电信0901班姓名:XX学号:XXXXXX数字钟的设计目录一、前言 (3)二、设计目的 (3)三、设计任务 (3)四、设计方案 (3)五、数字钟电路设计原理 (4)(一)设计步骤 (4)(二)数字钟的构成 (4)(三)数字钟的工作原理 (5)六、总结 (9)七、附录 (10)一、前言数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,已成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体与 555 振荡器的广泛应用,使得数字钟的精度远远超过老式钟表,钟表的数字化给人们生产生活带来了极人的方便,而目大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义。

二、设计目的1.掌握数字钟的设计方法。

2熟悉集成电路的使用方法。

3通过实训学会数字系统的设计方法;4通过实训学习元器件的选择及集成电路手册查询方法;5通过实训掌握电子电路调试及故障排除方法;6熟悉数字实验箱的使用方法。

三、设计任务设计一个可以显示时、分、秒的数字钟。

要求:1、24小时为一个计数周期;2、具有校时功能;3、具有整点报时功能;4、主要采用中小规模集成电路完成设计;5、电源电压+5V。

四、设计方案一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。

干电路系统由秒信号发生器、“时、分、秒、”计数器、译码器及显示器、电路组成。

首先构成一个由32768Hz的石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由74LS161采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器和七进制的周计数器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

24小时数字钟的设计与仿真报告目录目录 (1)前言.............................................................................................. 错误!未定义书签。

内容摘要............................................................ 错误!未定义书签。

设计要求............................................................ 错误!未定义书签。

第一章方案设计........................................................................ 错误!未定义书签。

第二章硬件设计及仿真............................................................ 错误!未定义书签。

2.1振荡器的设计 ............................................. 错误!未定义书签。

2.2分频器的设计 ............................................. 错误!未定义书签。

2.3时间计数器的设计 ..................................... 错误!未定义书签。

2.3.1六十进制计数器 ................................ 错误!未定义书签。

2.3.2二十四进制计数器 ............................ 错误!未定义书签。

2.4译码器与显示器的设计 ............................. 错误!未定义书签。

2.5校时电路 ..................................................... 错误!未定义书签。

第三章电路的总体设计............................................................ 错误!未定义书签。

第四章元器件清单及部分芯片介绍........................................ 错误!未定义书签。

4.1元器件清单 ................................................. 错误!未定义书签。

4.2部分芯片功能介绍 ..................................... 错误!未定义书签。

4.2.1 74LS90N ............................................. 错误!未定义书签。

4.2.2 555 ................................................... 错误!未定义书签。

第五章总结................................................................................ 错误!未定义书签。

附录参考文献............................................................................ 错误!未定义书签。

一、实训项目任务应用所学数字电路知识,熟练应用中小规模集成电路,完成24小时数字钟的设计与仿真。

具体功能如下:应用数码管分别显示时分秒。

二、24小时数字钟框图数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和一些显示星期、报时、停电查看时间等附加功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”,“星期”计数器、校时电路、报时电路和振荡器组成。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

三、芯片资料1.74LS160功能介绍U174LS160DQA 14QB 13QC 12QD 11RCO15A 3B 4C 5D 6ENP 7ENT 10~LOAD 9~CLR 1CLK2CLK 是脉冲输入;RCO 十进位信号输出端;ENP 和ENT 是计数器工作状态端;CLR 是异步清零端;LOAD 是置数端;VCC 界、接正点元;GND 接地;A~D 是数据输入端,QA~QD 是计数器状态输出端。

电源电压5V ,输入电压5V 。

其状态表如下所示:2、74LS48功能介绍采用7448七段译码器。

其中A ,B ,C ,D ——BCD 码输入端;Qa,Qb,Qc,Qd,Qe,Qf,Qg —译码输出端,输出"0"有效,用来驱动共阴极LED 数码管;LT ——测试输入端,LT="0"时,译码输出全为“1”;BI (RBO )—灭灯输入端;。

RBI 是灭零输入端。

其引脚如下:U374LS48DA 7B 1C 2D 6OA 13OD 10OE 9OF 15OC 11OB 12OG14~LT 3~RBI 5~BI/RBO4四、整体电路五、电路分析2.分、秒计时电路及显示部分在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D的反馈置数法来实现十进制功能和六进制功能,根据74LS160D的结构把输出端的0110(十进制为6)用一个与非门74LS00引到CLR端便可置0,这样就实现了六进制计数。

由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法。

显示部分用的是七段数码管和两片译码器74LS48D。

3. 时计时电路及显示部分由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法DCD_HEX3、当分钟计数器到59时,他的终止信号就会使能小时计数,小时计数器每小时改变一次状态。

小时计数器是由十进制计数器、触发器和一些逻辑电路门构成的。

十进制计数器产生数字0到9,用于小时显示个位。

由于小时显示需要数字1到12,因此其十位只能是0或者1。

当十进制计数器从9计数到0,触发器就在时钟的作用下进入置位状态,使其十位数变成1。

在触发以后,十进制计数器计数为0,小时显示就会显示10。

当分钟计数器从59计数到00时,小时的十进制计数器就会向前计数为1,小时显示器将显示11。

当分钟计数器再次从59计数到00时,小时的十进制计数器就会向前计数为2,小时显示12。

当分钟计数器再次从59计数到00时,小时显示器正处于计数为12的状态,这时触发就会复位,小时的十进制计数器将计数为1。

4、用74LS48驱动7448七段译码器3.3译码及驱动显示电路译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应的数字。

用于驱动LED七段数码管的译码器常用的有74LS48。

74LS48是BCD-7段译码器/驱动器,其输出是OC门输出且低电平有效,专用于驱动LED 七段共阳极显示数码管。

由74LS48和LED七段共阳数码管组成的一位数码显示电路如图 16 所示。

若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。

六、总结经过几天的学习,熟悉并掌握multisim,利用此软件完成24小时数字钟的设计与仿真。

24小时数字钟的设计,主要利用数电知识,进行设计,像生活中的钟表一样。

24小时数字钟,又秒、分、时所组成,秒、分都是60进制表示,时便是24进制表示,利用这一点,我先设计一个60进制的电路,在设计24进制电路,最后再把三部分组合在一起,这就是24小时数字钟的设计思路。

单元电路如上图所示。

设计过程也遇到好几个问题,比如芯片的功能比了解,为什么显示出反码等等。

找出问题并解决问题,有的问老师、有的问同学或者看书,作为参考。

虽然以前接触过此软件,但是因相隔过久,几乎就忘记怎样使用软件,历经几次摸索把软件熟悉了,之后便是完成项目任务。

七、利用Multisim仿真软件设计体会通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。

在设计过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。

连接电路图过程中,可能是Multisim仿真软件学过的时间隔的太久,使用的时候有一点的难处等。

调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。

在整个设计中,74LS160的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制,100进制的计数器。

同时,在最后仿真时,预置的频率一开始用的是100HZ,结果仿真结果反应有点慢,后把频率加大,这才在短时间内就能看到全部结果。

总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

5心得与体会在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。

这学期数电实验课的考试就是做的数字钟,所以在计数模块上面有以前的经验,设计技术模块很快就得出了正确的结果,虽然跟实验室用得芯片不一样,但原理不一样,我也得出结论,不同的电路可以实现同样的功能,我们应该设计最简单,最经济,最实用的电路。

当然这个不一定所有条件都符合,找到一个最大限度满足各种条件的方案是我们设计的目标。

每次课程设计是一次难得的锻炼机会,让我们能够充分利用所学过的理论知识还有自己的想象的能力,另外还让我们学习查找资料的方法,以及自己处理分析电路,设计电路的能力。

我相信是对我的一个很好的提高。

平时在学习理论知识的时候,我们应该更注重实践,应付考试有考试的方法。

这次的课程设计让我懂得了它们在实际中的用途,还有我们身边的很多数字钟电路,这些都是我们自己可以实现的,以前那些神秘的东西在不断的学习过程中变得不再那么神秘,我相信,以后还有更多的谜底被揭开。

通过这次课程设计,我还更加深了理论知识的学习。

这次的设计电路我用到了计数器、译码器等,通过自己分析和设计更好地运用了它们,而且还学会了它们更多的功能,发现它们的功能远比书上说的多很多,可以利用不同的接法设计出各种各样不同的电路出来。

相关文档
最新文档