拔河游戏数字电路设计
拔河游戏机电路设计程序
拔河游戏机电路设计程序一、总体设计思想电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。
由一排发光二极管表示拔河的“电子绳”。
由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时,则该方获胜,连续比赛多局以定胜负。
1.基本原理本电路要求使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
最后用数码管显示获胜者的盘数。
由设计内容可知,首先需要一个十进制的计数器,用于对双方按钮的次数计数,并通过译码器显示在数码管上。
设计要求用50MHz的频率,而设计用到的是1K Hz的频率,所以要设计一个程序进行分频。
其次,显视控制部分设计要求在发光二极管上显示游戏状态,双方每按十次,亮点向先按十次移动一次,对脉冲进行计数,每十次移一位。
需接入一个清零端,用于复位。
再次,运用VHDL程序语言进行各个模块的程序编写,控制电路的正常运行。
最后,将以上程序组装起来,就可得到所需要的拔河游戏机library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bahe isport (a,b,rst,clk:in std_logic;sg,led:out std_logic_vector(8 downto 0);bt:out std_logic_vector(7 downto 0));end bahe;----------------------------------architecture one of bahe iscomponent cnt10port (clk,rst,en:std_logic;cout:out std_logic;cq:out std_logic_vector(3 downto 0));end component;component scanport (clk :in std_logic;a1, a2,a3,b1,b2,b3:in std_logic_vector(3 downto 0);sg:out std_logic_vector(8 downto 0);bt: out std_logic_vector(7 downto 0));end component;component lmovport (kl ,kr:in std_logic_vector(3 downto 0) ;led:out std_logic_vector(8 downto 0);en : out std_logic;rst:in std_logic);end component;signal e,f,ca1,ca2,cb1,cb2:std_logic;signal cqa1,cqa2,cqa3,cqb1,cqb2,cqb3:std_logic_vector(3 downto 0); beginu1: cnt10 port map (en=>e,rst=>rst,clk=>a,cout=>ca1,cq=>cqa1);u2: cnt10 port map (en=>e,rst=>rst,clk=>ca1,cout=>ca2,cq=>cqa2); u3: cnt10 port map (en=>e,rst=>rst,clk=>ca2,cq=>cqa3);u4: cnt10 port map (en=>e,rst=>rst,clk=>b,cout=>cb1,cq=>cqb1);u5: cnt10 port map (en=>e,rst=>rst,clk=>cb1,cout=>cb2,cq=>cqb2); u6: cnt10 port map (en=>e,rst=>rst,clk=>cb2,cq=>cqb3);u7: scan port map (a1=>cqa1,a2=>cqa2,a3=>cqa3,b1=>cqb1,b2=>cqb2,b3=>cqb3,clk=>clk,sg=>sg,bt=>bt);u8:lmov port map (en=>e,kl=>cqa2,kr=>cqb2,rst=>rst,led=>led); end architecture one;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt10 isport(clk,rst,en:std_logic;cout:out std_logic;cq:out std_logic_vector(3 downto 0));end;architecture one of cnt10 isbeginprocess(clk,rst,en)variable cqi:std_logic_vector(3 downto 0);beginif rst='1' thencqi:=(others=>'0');elsif clk'event and clk='1' thenif en='1' thenif cqi<9 then cqi:=cqi+1;else cqi :=(others=>'0');end if ;end if;end if;if c qi=9 then cout<='0' ;else cout<='1';end if;cq<=cqi;end process;end;电路的VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity scan isport (clk :in std_logic;a1,a2,a3,b1,b2,b3:in std_logic_vector(3 downto 0); sg:out std_logic_vector(8 downto 0);bt: out std_logic_vector(7 downto 0));end;architecture one of scan issignal cnt4:std_logic_vector(2 downto 0);signal a:std_logic_vector(3 downto 0);signal clk1:std_logic;beginp1:process(cnt4)begincase cnt4 iswhen "000"=>bt<="10000000";a<=a1;when "001"=>bt<="01000000";a<=a2;when "010"=>bt<="00100000";a<=a3;when "011"=>bt<="00000100";a<=b1;when "100"=>bt<="00000010";a<=b2;when "101"=>bt<="00000001";a<=b3;when others=>bt<="00000000";end case ;end process p1;---------------------------------p2:process (clk)variable ct:integer range 0 to 50000;beginif clk'event and clk='1' then --1000HZif ct<49999 thenct:=ct+1;clk1<='0';elsect:=0;clk1<='1';end if;end if;end process p2;process(clk1)beginif clk1'event an d clk1='1' thenif cnt4<5 thencnt4<=cnt4+1;cnt4<="000";end if;end if;end process;------------------------------------process (a)begincase a iswhen "0000"=>sg<="100000000";when "0001"=>sg<="111110001";when "0010"=>sg<="001001000";when "0011"=>sg<="001100000";when "0100"=>sg<="000110010";when "0101"=>sg<="000100100";when "0110"=>sg<="000000100";when "0111"=>sg<="111110000";when "1000"=>sg<="000000000";when "1001"=>sg<="100011111";when "1010"=>sg<="000100100";when "1011"=>sg<="000011000";when "1100"=>sg<="010001100";when "1101"=>sg<="001001000";when "1110"=>sg<="001000000";when "1111"=>sg<="000011111";when others=>null;end case ;end process;end;⑸胜负显示将双方终端二极管正极经非门后的输出分别接到二个CC4518计数器的EN端,CC4518的两组4位BCD码分别接到实验装置的两组译码显示器的A、B、C、D插口处。
电工课程设计电子拔河游戏
电工课程设计电子拔河游戏一、课程目标知识目标:1. 理解并掌握基础的电路知识,包括电路的组成、电流、电压的概念。
2. 学习并运用电子元件,如电阻、电容、二极管、三极管等,设计简单的电子电路。
3. 掌握基本的编程知识,能够通过编程控制电子元件,实现游戏的互动性。
技能目标:1. 培养学生动手能力,能够自主搭建电子电路,进行电路测试和故障排查。
2. 提高学生的问题解决能力,通过团队协作,设计并完成电子拔河游戏。
3. 培养学生的创新思维,能够运用所学知识,对游戏进行改进和创新。
情感态度价值观目标:1. 培养学生对电工学科的兴趣,激发学生的学习热情。
2. 培养学生的团队合作精神,学会在团队中沟通、协作、共同解决问题。
3. 增强学生的自信心和成就感,通过完成电子拔河游戏,体会知识的力量。
课程性质:本课程为实践性强的课程,结合电工学科知识,通过设计电子拔河游戏,让学生在动手实践中掌握知识。
学生特点:学生为初中生,具有一定的物理知识和动手能力,对新鲜事物充满好奇心。
教学要求:注重理论与实践相结合,充分调动学生的主观能动性,引导学生在实践中学习,培养创新能力和团队协作精神。
通过课程目标的分解,使学生在完成课程后,能够达到预定的学习成果。
二、教学内容1. 电路基础知识:包括电路的组成、电路图的识别、电流电压的计算,关联教材中第二章“电路基础”内容。
2. 电子元件应用:学习电阻、电容、二极管、三极管等元件的特性及应用,关联教材中第三章“常用电子元件”内容。
3. 简单电路设计:利用所学元件设计并搭建简单的电路,如开关控制电路、灯光控制电路等,关联教材中第四章“电路设计基础”内容。
4. 编程控制:学习基本的编程知识,如逻辑判断、循环语句等,掌握通过编程控制电子元件的方法,关联教材中第五章“电子电路与编程”内容。
5. 电子拔河游戏设计:将所学知识综合运用,设计并搭建电子拔河游戏,包括电路设计、编程控制、游戏规则设定等,关联教材中第六章“综合设计实例”内容。
电子拔河课程设计
电子拔河游戏机一、设计任务与要求(1)设计一个模拟拔河游戏比赛的逻辑电路。
(2)电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。
(3)比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。
(4)亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。
(5)用七段数码管显示双方的获胜盘数。
二、方案设计与论证电子拔河游戏机是以15个发光二极管组成的绳,参赛双方按键盘上按键的频率为拉力大小的一种游戏电路。
为了游戏的公平,电路必须附有一个开始按键,即只有按下此键电路才能运行,双方才能开始比赛。
比赛前最中间的发光二极管亮,比赛双方通过按键盘上的两个按键使发光的二极管分别向左和右移动,当左右两端其中有一个亮时,电路会锁定这个状态,使双方的按键都失效。
这时候通过计数器再由七段数码管显示出来,这样能够显示出双方各自取胜的盘数。
完成一局后,电路已被锁定,要进行下一局,必须用到复位键,在接下来的分析中可以发现将复位和开始两个命令设置为同一个键,按下此键使电路回到初始状态,即只有最中间的二极管发光。
当两个人比赛完后,要在电路上加一个使七段数码管的数据清零的按键,以便另外两人进行比赛。
方案一:运用10进制加减计数器74LS192和4—10线译码器进行实现,清零键接74LS192的清零端,锁定电路用置数端。
方案二:运用16进制加减计数器74LS193和4—16线译码器进行实现,清零键用74LS193的置数端,锁定电路用输入脉冲与“电子绳”的其中一端用一个与门接成。
由于所用到的LED灯有15个,所以用方案一用到的计数器和译码器会更多,使电路更复杂,用置数端实现对电路的锁定用到的接线更多,而且实现仿真的multisim1.0中有方案二用到的所有元件,所以选用方案二。
数字逻辑拔河游戏课程设计
数字逻辑拔河游戏课程设计一、课程目标知识目标:1. 理解数字逻辑基础,掌握基本逻辑门及其功能,如与门、或门、非门等。
2. 学会使用逻辑门设计简单的数字逻辑电路,并能描述其工作原理。
3. 掌握逻辑代数的基本运算法则,能够进行简单的逻辑表达式化简。
技能目标:1. 能够运用所学知识,设计并搭建一个“数字逻辑拔河游戏”的物理模型。
2. 通过小组合作,培养学生的团队协作能力和问题解决能力。
3. 提高学生动手操作能力,通过实践操作加深对数字逻辑电路的理解。
情感态度价值观目标:1. 培养学生对数字逻辑电路的兴趣,激发学习热情,形成积极的学习态度。
2. 增强学生的创新意识和实践能力,培养勇于尝试、不断探索的精神。
3. 通过团队合作,培养学生的集体荣誉感和责任感,提高人际沟通能力。
本课程结合学生年级特点,注重知识与实践相结合,以趣味性的“数字逻辑拔河游戏”为载体,让学生在轻松愉快的氛围中学习数字逻辑知识。
课程目标具体、可衡量,旨在帮助学生掌握基本概念,提高动手实践能力,培养团队协作精神,形成积极向上的情感态度。
为实现课程目标,教师需进行详细的教学设计和评估,确保学生在课程中学有所获。
二、教学内容本节教学内容主要围绕以下几部分展开:1. 数字逻辑基础:讲解基本逻辑门(与门、或门、非门等)的功能和原理,使学生掌握数字逻辑电路的基本组成。
2. 逻辑代数:介绍逻辑代数的基本运算法则,包括与、或、非等操作,以及逻辑表达式的化简方法。
3. 数字逻辑电路设计:教授如何使用基本逻辑门设计简单的数字逻辑电路,并结合实际案例进行分析。
4. 数字逻辑拔河游戏设计:结合所学知识,指导学生设计并搭建一个具有趣味性的数字逻辑拔河游戏物理模型。
具体教学内容安排如下:1. 第一阶段:数字逻辑基础(1课时)- 介绍基本逻辑门及其功能- 分析基本逻辑门的工作原理2. 第二阶段:逻辑代数(1课时)- 讲解逻辑代数基本运算法则- 举例说明逻辑表达式的化简方法3. 第三阶段:数字逻辑电路设计(2课时)- 分析实际案例,教授设计方法- 学生自主设计简单的数字逻辑电路4. 第四阶段:数字逻辑拔河游戏设计(2课时)- 分组讨论,确定设计方案- 学生动手实践,搭建数字逻辑拔河游戏模型教学内容与教材紧密关联,按照教学大纲逐步推进,确保学生能够扎实掌握数字逻辑知识,提高实践能力。
拔河游戏机的实训报告
一、实训目的本次实训旨在通过设计、制作和调试拔河游戏机,加深对数字电子技术基础知识的理解,提高动手实践能力,培养分析问题和解决问题的能力。
通过实训,使学生能够将所学理论知识与实际应用相结合,进一步巩固数字电路的设计和制作技能。
二、实训内容1. 设计任务分析本次实训设计的主要任务是设计一个模拟拔河游戏比赛的逻辑电路。
具体要求如下:(1)使用15个发光二极管,开机后只有中间的发光二极管亮,代表拔河绳子的中间点。
(2)比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲。
按得快的玩家,发光二极管会向其方向移动,每按一次,发光二极管移动一位。
(3)当亮的发光二极管移到任一方的终点时,该方获胜。
此时,双方的按钮都应无作用,状态保持,只有裁判按动复位按钮后,在拔河绳子中间的发光二极管重新亮。
(4)使用七段数码管显示双方的获胜盘数。
2. 实验设计思路(1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号。
电路具有自锁功能,使输入信号无效。
(2)电子绳由15个LED管构成,裁判下达开始比赛的命令后,位于电子绳中点的LED点亮。
(3)甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。
(4)当从中点至自己一方的最后一个LED管时,该方获胜,此时双方按钮均无作用,输出保持。
(5)用七段数码管显示双方的获胜盘数。
三、实训过程1. 硬件设计根据设计要求,选用以下硬件:(1)15个发光二极管(LED)(2)两个按钮(3)一个复位按钮(4)一个七段数码管(5)数字电路元件:电阻、电容、集成电路等2. 电路连接(1)将15个LED管按照拔河绳子的形状排列,其中中间一个LED管为亮点。
(2)将两个按钮分别连接到两个玩家的输入端。
(3)将复位按钮连接到电路的复位端。
(4)将七段数码管连接到电路的输出端。
3. 软件设计(1)编写程序,实现以下功能:- 比赛开始时,由裁判下达命令后,甲乙双方才能输入信号。
- 比赛过程中,记录双方按按钮的次数,并通过数码管显示。
fpga拔河游戏机课程设计
fpga拔河游戏机课程设计一、课程目标知识目标:1. 学生能够理解FPGA的基本原理和功能,掌握FPGA在数字电路设计中的应用。
2. 学生能够掌握拔河游戏机的硬件组成和软件编程,了解其工作原理。
3. 学生能够运用所学的数字电路知识,设计并实现一个简单的FPGA拔河游戏机。
技能目标:1. 学生能够运用FPGA开发工具,进行数字电路设计和编程。
2. 学生能够独立完成拔河游戏机的硬件连接和调试。
3. 学生能够通过团队协作,完成拔河游戏机的整体设计和制作。
情感态度价值观目标:1. 培养学生热爱科学,积极探索数字电路领域的兴趣。
2. 培养学生面对问题,勇于挑战,解决问题的能力。
3. 培养学生团队协作精神,提高沟通与交流能力。
课程性质:本课程为实践性较强的课程,结合理论知识,让学生在实际操作中掌握FPGA的应用。
学生特点:学生具备一定的数字电路基础,对FPGA有一定了解,但实际操作经验不足。
教学要求:教师需引导学生掌握FPGA拔河游戏机的理论知识,注重实践操作,培养学生的动手能力和团队协作能力。
通过课程学习,使学生能够将所学知识应用于实际项目中,提高解决实际问题的能力。
二、教学内容本课程教学内容主要包括以下三个方面:1. 数字电路基础知识:- 复习数字电路的基本概念、逻辑门电路、组合逻辑电路和时序逻辑电路。
- 介绍FPGA的基本原理、结构及其在数字电路设计中的应用。
2. FPGA开发工具及编程:- 学习FPGA开发软件(如VHDL/Verilog)的基本操作和语法。
- 掌握FPGA编程方法,学会设计简单的数字电路。
3. 拔河游戏机设计与实现:- 分析拔河游戏机的硬件组成,包括FPGA芯片、输入输出接口、显示模块等。
- 学习拔河游戏机的软件编程,实现游戏逻辑、界面显示等功能。
- 学习拔河游戏机的硬件连接和调试方法。
教学大纲安排:1. 数字电路基础知识(2课时)2. FPGA开发工具及编程(3课时)3. 拔河游戏机设计与实现(5课时)教材关联:1. 《数字电路与逻辑设计》相关章节,了解数字电路基本原理和设计方法。
拔河游戏机实验报告
本科实验报告课程名称:电子电路设计实验姓名:陈肖苇学院:信息与电子工程学院系:专业:电子科学与技术学号: 3140104580指导教师:叶险峰李锡华施红军2016 年4 月14 日实验报告课程名称:电子电路实验2 指导老师:叶险峰 李锡华 施红军 成绩:实验名称:拔河游戏机的设计 实验类型:综合实验 同组学生姓名:鲁屹乾一、实验目的 二、实验任务与要求三、实验方案设计与实验参数计算(3.1 总体设计、3.2 各功能电路设计与计算、3。
3完整的实验电路……)四、主要仪器设备 五、实验步骤与过程六、实验调试、实验数据记录 七、实验结果和分析处理八、讨论、心得一、实验目的要求学生能够独立完成一个稍具难度的项目的设计、调试与修改,最终得到具有完整功能的电路。
具体要求如下:1. 能够独立阅读文献,理解并掌握所做电路的原理。
2. 能够使用AD9对目标电路原理图进行搭建与整合.3. 能够使用AD9进行目标电路的PCB 板的排版布线,并与原理图进行逻辑对比,减少布线错误。
4. 能够独立的进行电路的焊接与调试,最终得到符合所需功能的电路板。
二、实验任务与要求所选择的项目是设计一个拔河游戏机,其要求如下:1. 游戏分甲、乙两方,用按键速度来模拟双方力量,以点亮的发光二极管的左右移动来显示双方比赛的状态。
2. 拔河游戏机用15个发光二极管排成一排,比赛开始时,中间的发光二极管点亮,以此作为拔河的中心线。
3. 游戏双方各持一个按键,迅速的、不间断的按动各自的按键以产生脉冲,谁按的快,亮点就向谁方移动,每按一次,亮点移动一次。
4. 当亮点移到任一方终端二极管点亮时,这方就得胜。
此时发光二极管发光状态保持,双方按键均无效。
只有经过复位后才能使亮点回到中心线,开始新一轮的比赛。
5. 设置一显示器显示甲乙双方各赢的局数。
三、主要仪器设备AD9,5V 直流电源,示波器,电烙铁四、实验原理电路整体的设计如下:专业:电子科学与技术姓名:陈肖苇学号:3140104580 日期:2016.4。
电子拔河游戏
摘要拔河游戏机用15个LED排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。
移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。
最后,显示器显示胜者的盘数。
第1章电子拔河游戏机电路的设计方案1.1功能描述(1)整体描述:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。
由一排15个LED发光二极管表示拔河的“电子绳”。
由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后LED管时,则该方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。
用键盘上的A键和Z键表示开关按钮。
用键盘上的S键来代替清零信号,每次比赛前都要进行清零,并使按钮开关复位。
(2)比赛开始,由裁判下达比赛命令后(用空格键代表裁判信号,按一下空格键),甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。
裁判信号由键盘空格键来控制。
(3)“电子绳”由15个LED管构成,裁判下达“开始比赛”的命令后,按一下空格键,位于“电子绳”中点的LED发亮。
甲乙双方通过按键输入信号,用键盘上的数字键A键Z来模拟,按一下A向左移动,按一下Z键向右移动。
使发光的LED管向自己一方移动,并阻止向其对方延伸,谁按得快就向这一方移动。
当从中点至自己一方的最后一个LED管发亮是,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。
(4)记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加分。
(5)双方得分计数器的清零信号由键盘上的数字键2、3键来实现。
当比赛结束时,计分器清零,为下一次比赛做好准备。
1.2 总体设计方案框图图1.1 第2章电子拔河游戏机各单元电路设计2.1整形电路设计图2.174LS193是可逆计数器,控制加减的CP脉冲分别加至和CPD 和CPU,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU 也必须接高电平,若直接由A、Z键产生的脉冲加到CPU和CPD,那么就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。
电子拔河游戏机设计 数电课程设计
长沙学院数电课程设计说明书题目电子拔河游戏机设计系(部)专业(班级)姓名学号指导教师起止日期数字电子技术课程设计任务书系(部):专业:指导教师:长沙学院课程设计鉴定表目录一.设计任务 (5)1、设计内容 (5)2、基本要求 (5)二.原理框图和总设计方案 (5)1、原理框图 (5)2、设计方案 (5)三.单元电路设计 (6)1、单元电路功能 (7)2、电路结构和元器件选择 (7)3、软件仿真测试 (7)四.所选用集成电路的逻辑符号图、引脚图、功能表 (8)五.总体电路的软件仿真测试 (10)六.总体电路的硬件实验测试 (11)七.设计结论和心得感悟 (12)八.参考文献 (12)一.设计任务1、设计内容设计并制作一个电子拔河游戏机。
2、基本要求(1)比赛开始,由裁判下达比赛命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。
(2)“电子绳”至少由7个LED管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED发亮。
甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。
当从中点至自己一方终点的LED管全部发亮时,表示比赛结束。
这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。
(3)记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加分。
二.原理框图和总设计方案1、原理框图图1 原理框图2、设计方案电子拔河游戏机电路由输入整形电路、计数器编码电路、译码器和电子绳电路、保持电路、计分显示电路五部分组成:(1)输入整形电路由74LS00与非门、74HC08与门组成。
选择其中四个与非门接成两个RS触发器,用于防止开关状态变化时信号产生抖动;其余与非门和与门连接成能产生脉冲微延迟的结构,以得到占空比很大的脉冲。
由于74LS192计数器进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平。
拔河游戏机电路设计
2017.12.26-2018.01.03
绘制原理图、调试运行
2018.01.04-2018.01.04
撰写设计报告书
2018.01.05-2018.01.05
EDA课程设计答辩
指导教师:
年 月 日
教研室意见
负责人:
年 月 日
拔河游戏机电路设计
朱路兴
摘 要
通用模拟拔河运动的形式,以双方手按按键的频率来代替现实拔河运动中双方的力量,频率快者为胜方为胜方。具体为用2N+1个电平指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持本课题模拟拔河运动的形式,以双方手按按键的频率来代替现实拔河运动中双方的力量频率快者一个按键迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动每按一次亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用输出保持只有经复位后才使亮点恢复到中心线。最后显示器显示胜者的盘数。
关键词:拔河游戏机 脉冲 编码
.
The circuit design of the tug of war games
Zhu Luxing
Abstract
Both universal analog form of tug-of-war hand press the frequency instead of the real power of the two sides in the tug-of-war frequency for the winner for the winner. Specific for with 2N+1 a level led arranged into line boot How only Middle a points bright to this as tug-of-war of center line game both the holding this subject simulation tug-of-war movement of form to both hand by press of frequency to instead of reality tug-of-war movement in the both of power frequency fast who a press quickly to, and constantly to by moving produced pulse who by have fast highlights to who direction mobile each by once highlights mobile once. Any one Terminal light is lit which one prevailed both keys have no function outputs keep only after it has been reset to restore highlight to the centerline. Last number displays to show the winner.
数电课程设计:拔河游戏电路的设计
拔河游戏电路的设计设计摘要:课程设计是数字电子技术课程重要的实践性教学环节,是对我们学习数字电子技术的综合性训练,它的重点是要求学生综合所学的理论知识和专业技能,设计制作功能较为复杂的电路,研究解决具有一定深度和工作量的小课题。
其目的是巩固和拓展我们所学的基本理论和专业知识,培养学生综合应用、独立分析和解决实际问题的能力,培养学生设计能力和创新型思维能力。
通过课程设计,利用各种芯片等元件,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,设计应用电路,这里是设计一个拔河游戏机的电路。
设计拔河游戏机,总体上它是由控制系统的输入、输出和控制器模块构成。
输入模块完成裁判启动命令和两个按钮信号的输入,其逻辑关系由门电路实现;控制器模块完成对输入脉冲信号的统计,由可预置加/减计数器构成,加/减计数的脉冲源分别取自两个按钮信号,计数器输出状态变量进入输出模块;输出模块完成计数器统计信号的翻译与显示并给出一个此次比赛结束信号。
关键字:拔河游戏机电路设计目录:一、插图清单 (3)二、表格清单 (4)三、引言 (5)四、正文第一章设计概述1.1设计目的 (7)1.2设计任务简述 (7)第二章设计方案与原理图2.1整体电路设计思路 (8)2.2拔河游戏机电路原理框图 (8)2.3 拔河游戏机整机电路图 (9)第三章设计过程3.1电路工作原理分析 (10)3.2单元电路的设计分析 (11)第四章元器件的使用及其引脚图 (16)第五章课程设计总结5.1设计小结 (18)5.2设计心得体会 (18)参考文献 (20)附录(设计图纸)插图清单:2.1 拔河游戏机原理框图 (8)2.2 拔河游戏机整机电路图 (9)3.1 74LS139加减计数器逻辑图 (10)3.2 整形电路图 (11)3.3 译码器电路图 (12)3.4 裁判开始信号控制图 (14)3.5 触发器控制电路图 (14)3.6 取胜次数的显示电路图 (14)元器件的引脚图:4.1 74LS00 二输入四与非门 (16)4.2 74LS02 二输入四或非门 (16)4.3 74LS08 二输入四与门 (16)4.4 CD4013 双D触发器 (17)4.5 74LS193 同步二进制可逆计数器 (17)4.6 CC4518 双同步十进制计数器 (17)4.7 CC4514 4线—16线译码器 (17)附录(设计图纸)表格清单:3.1 74LS193功能表 (11)3.2 CC4514 4线-16线译码器功能表 (12)3.3 CD4013双D触发器功能表 (15)3.4 CC4518加计数器功能表 (15)引言目前数字电子技术已经广泛的应用于计算机、自动控制、电子测量仪表、电视、雷达、通信等各个领域。
电子拔河游戏机数字电路课程设计
机械与电子工程学院课程设计报告课程名称数字电路技术基础设计题目电子拔河游戏机所学专业名称电子科学与技术班级学号学生姓名指导教师2012年5月28日任务书设计名称:电子拔河游戏机学生姓名:指导教师:起止时间:自2012 年 5 月12 日起至2012 年 6 月 2 日止一、课程设计目的1、掌握四数计数器CC4518,CC4514的原理及使用,显示电路原理。
2、熟悉与与门、与非门、异或门的使用。
3、掌握实验电路的工作原理。
4、培养独立分析故障及排除故障的能力。
二、课程设计任务和基本要求设计任务:1、设计一个能进行拔河游戏的电路。
2、电路使用15个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
5、用数码管显示获胜者的盘数。
基本要求:1、能够实现设计任务的基本功能;2、要求依据电子拔河游戏机的任务要求,运用数字电子技术的理论设计、制定实验方案,并撰写课程设计论文要求符合模板的相关要求,字数要求3000字以上。
指导老师评价表目录摘要与关键词 (5)1 总体方案设计 (6)1.1 设计思路 (6)1.2 电路原理图 (6)1.3 电路工作原理 (7)1.4 各单元电路的设计 (7)1.4.1编码电路的设计 (7)1.4.2整体电路设计 (8)1.4.3译码电路 (8)1.4.4控制电路 (8)1.4.5胜负显示 (9)1.4.6复位控制 (9)2 元器件的使用及其引脚图 (10)2.1 元器件的使用 (10)2.2 引脚图 (10)3 电路仿真结果 (11)3.1 开始电路 (11)3.2 比赛结束 (11)4 总结 (12)4.1 设计总结 (12)4.2 实验心得 (12)参考文献 (12)附录 (12)摘要与关键词摘要:拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点就向谁的方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线, 用七段数码管显示胜者取胜的盘数。
电子拔河游戏电路课程设计
目录摘要 (2)第一章设计任务分析 (3)第一节设计的主要内容与要求 (3)一主要内容 (2)二设计方法与技术要求 (4)三设计目的 (4)第二节对所设计电路的概述 (4)第二章电路的设计方案与原理分析 (6)第一节拔河游戏控制电路的应用意义 (6)第二节拔河游戏电路的设计思路 (6)一拔河游戏控制电路的设计要求及工作指标 (6)二拔河游戏控制电路的设计思路 (7)第三节拔河游戏控制电路的设计方案论证 (8)一方案一 (8)二方案二 (10)三确定方案 (13)(一)两方案的对比 (13)(二)确定方案 (16)第四节拔河游戏控制电路总体设计方案框图及原理分析 (16)一电路方案设计 (16)(一)确定所设计电路的各组成单元 (16)(二)形成设计方案的电路原理图 (19)二电路工作过程分析 (20)第五节电路的调试 (20)第三章本次课程设计中遇到的问题 (21)第四章课程设计总结 (22)第一节实验评价 (22)第二节心得体会 (23)第五章元器件的使用 (25)第一节所需设备及器件 (25)有关参考文献: (26)附录: (26)摘要电子游戏是常见的娱乐活动。
具有趣味性强。
操作简便的特点。
本文设计一种拔河游戏的替代品——电子拔河游戏电路。
其设计思路如下:用9个发光二极管代替绳子。
比赛开始时中间的二极管点亮。
以此为拔河的中心点。
游戏双方各持一键,按动以产生脉冲,哪方按得快,亮点就向哪方移动,每按一次,亮点就移动一下,当亮点移动到任一方终端二极管时,此方就获胜。
这时双方按键均无作用,输出保持。
只有通过复位,使亮点恢复到中心线,准备进入下次游戏。
第一章:设计任务分析第一节设计的主要内容与要求一主要内容(一)阅读相关科技文献在本次课程设计中需要对电子线路的设计与分析有一定的了解,对于电子技术中的很多基础需要明白。
所以对于学生的对一些相关的科技文献的查阅能力提出了一些要求。
需要通过查阅相关的科技文献才能把本次课程设计做的满意。
eda课程设计拔河游戏电路设计
eda课程设计拔河游戏电路设计一、课程目标知识目标:1. 学生能理解并描述拔河游戏电路的基本原理和电路组成。
2. 学生能掌握EDA软件的基本操作,完成拔河游戏电路的设计与仿真。
3. 学生了解并掌握拔河游戏中涉及的电子元件的功能和特性。
技能目标:1. 学生能够运用所学知识,独立设计并搭建拔河游戏电路。
2. 学生能够通过EDA软件对拔河游戏电路进行仿真测试,分析并解决电路中可能存在的问题。
3. 学生能够具备团队协作能力,与他人共同完成拔河游戏电路的设计与制作。
情感态度价值观目标:1. 学生能够培养对电子制作的兴趣,激发创新意识和动手实践能力。
2. 学生能够在团队合作中学会相互尊重、沟通与协作,培养团队精神和责任感。
3. 学生能够认识到科技对社会发展的作用,增强对科学技术的热爱和追求。
课程性质:本课程为实践性较强的课程,结合理论教学和动手实践,让学生在了解电路原理的基础上,通过实际操作,掌握EDA软件的使用,培养实际设计和制作电路的能力。
学生特点:学生具备一定的电子基础知识,对实际操作有较高的兴趣,喜欢探索新事物。
教学要求:注重理论与实践相结合,强调学生的动手实践能力,鼓励学生创新思维,培养学生团队协作精神。
在教学过程中,注重分解课程目标,确保学生能够达到预期的学习成果。
二、教学内容本课程教学内容主要包括以下三个方面:1. 理论知识学习:- 电路原理:回顾并深入理解欧姆定律、基尔霍夫定律等基本电路定律。
- 电子元件:学习并掌握常用电子元件如电阻、电容、二极管、三极管等的特性和应用。
- EDA软件操作:学习EDA软件的基本操作方法,包括电路图绘制、元件库调用、仿真测试等。
参考教材章节:第二章“基本电路原理”和第三章“电子元件及应用”。
2. 动手实践操作:- 拔河游戏电路设计:根据理论知识,运用EDA软件设计拔河游戏电路。
- 电路仿真:对设计的拔河游戏电路进行仿真测试,分析并解决可能出现的问题。
- 实际搭建:利用实物元件,按照设计图纸搭建拔河游戏电路。
数字电路课程设计-拔河游戏机
VCC 0
5V
J1 U10 Key = A
11
U8
Key = B
DCD_HEX_BLUE VCC 5V
VCC
DCD_HEX_BLUE
1 2 3
5 3 4
1
VCC U7A
2 7 1 EN1 MR1 CP1 1A 1B 1C 1D 3 4 5 6
9
1
10 7 8
2
3
4
4
U7B
6
5V
CP1 MR1 EN1 1 7 2
VCC 5V R1 1.0k J1
0 1
X1 U1A 74LS00D
2 6
U1B
3
U1C
4
2.5 V U1D
7
74LS00D
74LS00D
74LS00D
Key = A
VCC
U3A 74LS00D X2
R2 1.0k
5
R3 1.0k J2
8
U2A 74LS00D
9
U2B
10
U2C
11
2.5 V U2D
13 12 11 10
D3
9
74LS08
1A 1B 1Y 2A 2B
1 2 3 4 5
74LS193
2Y GND
6 7
D1
1
Q1
2
Q0 CPD CPU Q2 Q3 GND
3
4
5
6
7
8
5、4 线-16 线译码器 CC4514 的引脚图。
VDD INH A3
24 23 22
A2 Y10 Y11
21 20
由与门 74LS08 和与非门 74LS00 构成。因 74LS193 是可逆计数器,控 制加减的 CP 脉冲分别加至 5 脚和 4 脚,此时当电路要求进行加法计数时, 减法输入端 CPD 必须接高电平;进行减法计数时,加法输入端 CPU 也必须接 高电平,若直接由 A、B 键产生的脉冲加到 5 脚或 4 脚,就有很多时机在进 行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均 失去作用,拔河比赛不能正常进行。加一整形电路,使 A、B 二键出来的脉 冲经整形后变为一个占空比很大的脉冲,这就减少了进行某一计数时另一 计数输入为低电平的可能性, 从而使每按一次键都有可能进行有效的计数。
VHDL设计拔河游戏课程设计
VHDL设计拔河游戏课程设计一、教学目标本课程旨在通过VHDL设计拔河游戏的项目实践,让学生掌握数字电路设计的基本原理和方法,培养学生的实际动手能力和创新能力。
知识目标:使学生了解并掌握VHDL语言的基本语法和数字电路设计的基本方法;理解拔河游戏的规则和逻辑。
技能目标:培养学生使用VHDL语言进行数字电路设计的能力;培养学生解决拔河游戏中遇到的问题的能力。
情感态度价值观目标:培养学生对数字电路设计的兴趣,提高学生解决实际问题的积极性;培养学生团队合作的精神,增强学生的社会责任感和使命感。
二、教学内容本课程的教学内容主要包括VHDL语言的基本语法、数字电路设计的基本方法、拔河游戏的规则和逻辑。
1.VHDL语言的基本语法:介绍VHDL语言的基本元素、数据类型、信号声明、实体声明、架构声明等。
2.数字电路设计的基本方法:介绍组合逻辑电路、时序逻辑电路的设计方法,以及状态机的设计原理。
3.拔河游戏的规则和逻辑:介绍拔河游戏的规则,以及如何用数字电路设计实现拔河游戏的逻辑。
三、教学方法为了提高学生的学习兴趣和主动性,本课程将采用讲授法、案例分析法、实验法等多种教学方法。
1.讲授法:通过讲解VHDL语言的基本语法、数字电路设计的基本方法,使学生掌握相关知识。
2.案例分析法:通过分析拔河游戏的实际案例,使学生理解拔河游戏的规则和逻辑。
3.实验法:让学生动手设计并实现拔河游戏的数字电路,培养学生的实际动手能力。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将准备以下教学资源:1.教材:《数字电路设计》2.参考书:《VHDL编程入门》、《数字电路与系统》3.多媒体资料:VHDL语言的教学视频、拔河游戏的实际视频4.实验设备:数字电路实验板、编程器五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分,每个部分所占比例分别为30%、30%和40%。
1.平时表现:主要评估学生在课堂上的参与程度、提问回答等情况,以体现学生的学习态度和积极性。
拔河小游戏电路课程设计
拔河小游戏电路课程设计一、课程目标知识目标:1. 学生能理解并描述电路的基本组成,包括电源、导线、用电器和开关。
2. 学生能掌握并运用欧姆定律,解释拔河小游戏中电路的工作原理。
3. 学生能够解释并计算电路中的电流、电压及电阻的变化。
技能目标:1. 学生能够设计并搭建一个简单的拔河小游戏电路,展示对电路知识的实际应用。
2. 学生能够运用所学知识,解决电路搭建过程中的实际问题,如电流的不稳定和电压分配。
3. 学生通过小组合作,提升沟通协调和团队协作能力。
情感态度价值观目标:1. 学生培养对物理电学的兴趣,激发对科学探索的热情。
2. 学生通过实践活动,培养动手操作能力,增强自信心和成就感。
3. 学生在学习过程中,培养合作、尊重、公平竞争的价值观,理解团队协作的重要性。
课程性质:本课程为实践性强的电学课程,通过设计拔河小游戏电路,让学生在动手实践中理解和掌握电学知识。
学生特点:考虑到学生所在年级的特点,注重培养学生的学习兴趣,提高动手能力,同时引导他们形成积极的学习态度。
教学要求:结合学生特点,注重理论与实践相结合,强调知识的应用,通过小组合作,培养学生团队协作能力和解决问题的能力。
通过具体的学习成果分解,为教学设计和评估提供明确依据。
二、教学内容1. 电路基础知识回顾:电源、导线、用电器、开关的概念及其在电路中的作用。
2. 欧姆定律:电流、电压、电阻的关系,通过实例解释定律在实际电路中的应用。
3. 电路搭建:介绍电路搭建的基本步骤,讲解拔河小游戏电路的设计原理。
- 电源选择:干电池或直流电源适配器的使用。
- 导线连接:正确使用导线,确保电路连接的稳定性和安全性。
- 用电器和开关:介绍不同类型的用电器和开关在电路中的作用。
4. 拔河小游戏电路设计:分组讨论,设计并搭建拔河小游戏电路,实现以下功能。
- 通过开关控制电流的通断。
- 通过滑动变阻器调节电流大小,影响拔河小游戏的力度。
5. 教学内容安排和进度:共分为两个课时。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
一、实验目的:
1.学习数字电路中基本D触发器、计数、译码显示等单元电路的综合应用。
2.熟悉拔河游戏机的工作原理。
3.复习数字电路中D触发器、4线—16线译码器、计数器、译码显示器等部
分内容。
4.分析拔河游戏机组成、各部分功能及工作原理。
查出各芯片引脚排列及功
能。
二、实验器件:
1个CC4514 4线—16线译码器
1个74LS191 双向加减计数器
2个74LS160 十进制加法计数器
3个与门、2个或门、2个非门
2个D触发器
三、设计任务与要求:
(1)设计思路
拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。
用七段数码管显示胜者取胜的盘数。
(2)任务与要求:
1.设计一个模拟拔河游戏比赛的逻辑电路。
2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。
3.比赛双方各持一个按钮,快速不断地按动按钮产生脉冲,谁按得快,发光的二极管
4.就向谁的方向移动,每按一次,发光二极管移动一位。
5.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都
应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。
6.用七段数码管显示双方的获胜盘数。
7.根据设计要求合理选择方案。
四、总体设计方案:
(1)设计思路
1.设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。
用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。
当向计数器输入“加脉冲”
时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。
2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。
同时,使电路自动加分。
3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。
(2)电路设计原理
拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。
移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。
最后,显示器显示胜者的盘数。
图1电子拔河游戏机原理框图
图2 拔河游戏机的电路框图。
同步二进制可逆计数器74LS191原始状态输出4位二进制数0000,经译码器输出使中间的一只电平指示灯点亮。
当按动A、Z两个按键时,分别产生两个脉冲信号,经D触发器后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。
如按动复位键,亮点又回到中点位置,比赛又可重新开始。
将双方终端指示灯的正端分别经两个与非门后接到2个十进制计数器CC4518的使能端EN,当任一方取胜,该方终端指示灯点亮,产生1个下降沿使其对应的计数器计数。
这样,计数器的输出即显示了胜者取胜的盘数。
(3)单元电路设计
1.D触发器生成脉冲电路
说明:由两个D触发器和是三个与门、两个非门组成的脉冲发生电路,A、Z为玩家输入端即脉冲输入端,C为触发器清零开关,非门用来清除上一次的输出结果,与门用来防止玩家抢答,两个D 触发器输出端组成或门,作用于74LS193的时钟脉冲端,D触发器输出连到193的5端用来进位和降位
,
2.计数电路:
说明:74LS191是双时钟4位二进制同步可逆计数器。
74LS191的特点是有两个时钟脉冲(计数脉冲)输入端。
在RD=0、LD=1的条件下,作加计数时,令CPD=1,计数脉冲从CPU输入;
作减计数时,令CPU=1,计数脉冲从CPD输入。
此外,74LS191还具有异步清零和异步预置数的功能。
当清零信号RD=1时,不管时钟脉冲的状态如何,计数器的输出将被直接置零;当RD =0,LD=0时,不管时钟脉冲的状态如何,将立即把预置数数据输入端A、B、C、D的状态置入计数器的QA、QB、QC、QD端,称为异步预置数。
3. 译码电路:
说明:由4线-16线译码器CC4514构成。
译码器的输出Y0~Y15中选出15个接电平指示灯,电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。
比赛准备,译码器输入为0000,Y0输出为1,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。
4.胜负显示电路
说明:由两个十进制加法计数器74LS160和译码显示器构成。
将双方终端指示灯正极经与非门输出后分别接到2个74LS160计数器的CP端,74LS160的两组4位BCD码分别接到实验箱中的两组译码显示器的8、4、2、1插孔上。
当一方取胜时,该方终端指示灯发亮,产生一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示,若1位数不够,则进行2位数的级连。
(4)总原理图及操作步骤
(1)赛前预备:A、C、Z开关均置于高电平,A、Z用来控制D触发器。
C端用于触发器清零。
(2)比赛预备:Q置于高电平用于显示器清零。
Space开关连打两次置于高电平使计数器置1,中间的指示灯亮。
(3)比赛开始:两名玩家通过控制开关A、Z不断地给计数器输入脉冲,速度快者将率先到达最外端,既而一局比赛完毕。
此时再控制space
开关,再次置1,然后同样的步骤进行第二局的比赛。
五.设计总结:
拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点就向谁的方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线, 用七段数码管显示胜者取胜的盘数。
本实验通过设计多谐振荡器提供输入脉冲,用可逆计数器,译码器,将甲乙双方的输入转换为脉冲,再经过译码,显示译码器和七段数码管实现电路的记分功能。
用开关设计的裁判可以实现电路的记分和清零功能。
因74LS193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,
必须接高电平;进行减法此时当电路要求进行加法计数时,减法输入端CP
D
也必须接高电平,若直接由A、B键产生的脉冲加到计数时,加法输入端CP
U
5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。
需加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就可减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。
整形电路由与非门和与门实现。
六.实验心得与体会
经过一个多星期的努力和付出的汗水,终于把课程设计做完了。
真是不容易呀,一份耕耘,一份收获。
这次课程设计给了我许多收获。
本次课程设计后我对数字电子技术有了更进一步的熟悉,实际操作和课本上的知识有很大联系,但又高于课本,一个看似很简单的电路,要动手把它设计出来就比较困难了,因为是设计要求我们在以后的学习中注意这一点,要把课本上所学到的知识和实际联系起来,同时通过本次电路的设计,不但巩固了所学知识,也使我们把理论与实践从真正意义上结合起来,增强了学习的兴趣,考验了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力。
不仅这样,还使我熟悉掌握了WORD文档的编辑。
通过设计拔河游戏机控制电路,让我对数字逻辑这门课有了更深刻的了解,对电子器件的认识也不再停留在字面上,实现了从理论到实践的飞跃。
我了解到理论和实践是分不开的。
只有理论不行,当你面对一堆元气件时,你会茫然不知所措;可只懂实践也不行,当别人问你为什么会这样,怎么样才算正确,你如果说凭感觉是不足以服人的。
学习的目的就是去应用,只有实践才能将书本知识转化为实用的技能。