汽车尾灯控制系统说明书

合集下载

汽车尾灯控制器

汽车尾灯控制器

汽车尾灯控制器12. 132 汽车尾灯控制器1.1系统需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用1.2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。

当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯rd1亮;当汽车向左侧转弯时,汽车左侧的指示灯ld1亮;当汽车刹车时,汽车右侧的指示灯rd2和汽车左侧的指示灯ld2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯rd3和汽车左侧的指示灯ld3同时一直亮。

通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night和系统的输出信号:汽车左侧3盏指示灯ld1、ld2、ld3和汽车右侧3盏指示灯rd1、rd2、rd3实现以上功能。

系统的整体组装设计原理如图1.1所示。

图1.1 系统的整体组装设计原理汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

1.3.1时钟分频模块整个时钟分频模块的工作框图如图1.2所示。

图1.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk : in std_logic;q : out std_logic);end entity fenpin;architecture behave of fenpin issignal count:std_logic_vector(7 downto 0);beginprocess(clk)beginif rising_edge(clk) thencount<=count+1;end if;end process;1.3.2 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示。

汽车尾灯控制系统设计毕业设计说明书

汽车尾灯控制系统设计毕业设计说明书
第六章 产品使用说明................................................................................................24 6.1 功能描述........................................................................................................... 24 6.2 使用说明........................................................................................................... 24
第三章 硬件设计方案..................................................................................................5 3.1 设计思路............................................................................................................ 5 3.2 硬件选着及论证................................................................................................ 5 3.2.1 硬件选择.................................................................................................... 5 3.2.2 PLC 的硬件系统......................................................................................... 5 3.2.3 PLC 的工作原理......................................................................................... 6 3.2.4 LED 灯的原理............................................................................................. 8 3.2.5 汽车 LED 尾灯............................................................................................ 9 3.3 PLC S7-200 编程设计及分析........................................................................... 9 3.3.1 I/0 端口的分布......................................................................................... 9 3.3.2 I/0 接线图............................................................................................... 10

汽车尾灯控制电路的设计说明

汽车尾灯控制电路的设计说明

目录1、mutisim简介11.1 概述11.2 具有的功能模块12、设计要求与思路52.1 设计目的与要求52.2设计思路与构想53、单元电路设计73.1秒脉冲电路的设计73.2 开关控制电路的设计83.3 三进制计数器电路的设计93.4 译码与显示驱动电路的设计113.5 尾灯状态显示电路的设计134、电路仿真与分析144.1 电路仿真总电路图144.2 汽车尾灯控制器电路的工作原理144.3 参数计算与器件选择155、电路安装与调试166、元器件清单177、设计体会18参考文献19本科生课程设计成绩评定表201、mutisim简介1.1 概述Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。

凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。

借助专业的高级SPICE 分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。

与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

1.2 具有的功能模块:&Oslash; 直观的图形界面整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的;&Oslash; 丰富的元器件提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元件各种参数进行编辑修改,能利用模型生成器以与代码模式创建模型等功能,创建自己的元器件。

汽车尾灯控制系统

汽车尾灯控制系统

汽车尾灯控制电路设计一、实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。

二、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:a、汽车正常运行时指示灯全灭;b、右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示灯按左循环顺序点亮。

c、临时刹车时所有指示灯同时闪烁。

三、设计步骤:(1)列出尾灯与汽车运行状态表表1 尾灯和汽车运行状态关系表(2)设计总体框图由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(S1、S2、CP、Q1、Q0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。

表2 汽车尾灯控制逻辑功能表由表2得出总体框图,如图1所示:图1 汽车尾灯控制电路原理框图(3)设计单元电路三进制计数器电路可由双JK触发器74LS76构成。

图2 三进制计数器电路图采用CP下降沿触发的JK触发器,当CP由1跳变为0时,触发器的输出依据J和K的状态而定。

表3为J-K触发器的状态表。

表3 J-K触发器的状态表由双JK组成的三进制计数器的逻辑功能表如表2。

汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。

74LS138的三个输入端A2、A1、开关控制电路显示、驱动电路译码电路三进制计数器尾灯电路S1 S21J 1K 1Q1Q2J 2K2Q 2Q74LS76 “1”“1”CP Q0Q174LS763A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。

当S1=1,S2=0时,使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端0Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1”无效),即反相器G1~G3的输出也依次为0,故指示灯D3→D2→D1按顺序点亮示意汽车左转弯。

u-be说明书 尾灯

u-be说明书 尾灯

u-be说明书尾灯
XXX尾灯,其包括后尾灯壳体。

其特征在于:所述的后尾灯壳体设有安装凹腔,安装凹腔内设有表面均匀排列若千LED灯珠的铝基板,铝基板上设有两个固定孔,在铝基板上设有由透明材料加工而成的导光板。

所述导光板的表面对应于LED灯珠设有导光柱,导光板的另一面设有两个固定柱,固定柱穿过固定孔与后尾灯壳体连接,还包括一个不透光的遮光板,遮光板上设有与导光柱对应的通孔,遮光板罩于导光板上,导光柱穿过通孔,还包括一个与后尾灯壳体连接的透光罩壳。

XXX尾灯也称小灯,开小灯开关,就就亮,开大灯时也亮;刹车灯是受刹车灯开关来控制的,刹车灯和尾灯是一个灯泡,内部有两个灯丝,共用一个接地极,2个火线极。

我们强烈建议您不能将车辆改装或更改原件的位置。

因为这样将会严重影响整车的稳定性、制动性能及安全性。

如果将车辆改装或更改原件的位置,如电气系统或者其他设备,都是不符合行车安全和违反有关交通管
理规定的。

对于因用户自行改装造成的一切质量问题及后果,由用户承担一切责任。

因此,请用户切实遵守。

车尾灯控制器(基于ED2板)(完美版)

车尾灯控制器(基于ED2板)(完美版)

汽车尾灯控制器一、课程设计的内容尾灯与汽车运行状态控制表开关控制运行状态左尾灯右尾灯S1 S0 D4D5D6 D1D2D30 0 正常运行灯灭灯灭0 1 右转弯灯灭按D1D2D3顺序循环点亮1 0 左转弯按D4D5D6顺序循环点亮灯灭1 1 临时刹车所有尾灯随时钟CP同时闪烁二、课程设计的要求与数据课程设计要求:1.查阅相关的学习资料包括软件的相关资料。

2.设计一个汽车尾灯控制器,完成其各个数据技术要求。

3.规范撰写课程设计报告课程设计数据要求:汽车左右尾灯各有三个指示灯,当控制开关S1,S0的状态为“00”时,汽车尾灯的右尾灯D1D2D3与左尾灯D4D5D6都不亮,表示汽车正常向前行驶。

当S1,S0的状态为“01”时,则汽车的右尾灯D1D2D3依次循环闪烁,左尾灯都不亮,表示汽车即将右转弯。

当S1,S0的状态为“10”时,则汽车的左尾灯D4D5D6依次循环闪烁,右尾灯都不亮,表示汽车即将左转弯。

当S1,S0的状态为“11”时,则汽车的左右尾灯D4D5D6和D1D2D3都同时闪烁,表示汽车正在刹车。

三、课程设计应完成的工作1.相关资料的收集,如74LS194双向移位寄存器的功能,74LS292分频加法器的功能等。

2.quartus II软件的掌握。

3.拟定设计方案。

4.原理图的设计。

5.编译修改与仿真。

6.调试验证。

7.撰写课程设计报告。

四、课程设计进程安排序号设计各阶段内容地点起止日期学习课程设计内容及相关要求实验2-214 12.2查阅相关资料宿舍514 12.2软件的安装,设计思路及方案宿舍514 12.2原理图初步设计实验2-214 12.3编译、修改与仿真宿舍514 12.3验证实验2-214 12.4撰写课程设计报告宿舍514 12.5五、应收集的资料及主要参考文献收集的相关资料:74LS194双向移位寄存器的功能,74LS292分频加法器的功能。

主要参考资料:《数电》网址:/link?url=_xG0c3-wpfRnwYSxyJNi8slhjWTKcA-jsFIxua7CeqytAvqWHt xJe5OJkZPZFJpA0RESO9o6kH0erJ1pNMBjEwUzyYj96fQLJDO6beTgS_W摘要本课程设计是为了培养我们的独立思考能力及实验动手能力,让我们掌握数字实验设计和调试的方法,提高我们的分析,解决问题的能力。

汽车尾灯控制系统

汽车尾灯控制系统

/* Function: 在小脚丫STEP-MXO2上用状态机实现模拟汽车尾灯控制。

1),用三色LED代表左右汽车尾灯2),用拨码开关控制汽车行驶状态3),用单色LED显示汽车行驶状态尾灯控制:1),直行:尾灯不亮,单色LED向上流水滚动2),右转:右侧尾灯按秒闪烁,左侧不亮,单色LED亮其中4个灯3),左转:左侧尾灯按秒闪烁,右侧不亮,单色LED亮另外4个灯4),停车:两侧尾灯同时闪烁,单色LED保持全亮5),倒车:两侧尾灯常亮,单色LED向下流水滚动拨码开关状态:STOP: state_in == 4'b0000 停车GO: state_in == 4'b0001 直行LEFT: state_in == 4'b0010 左转RIGHT: state_in == 4'b0100 右转BACK: state_in == 4'b1000 倒车其他拨码开关输入状态同STOP执行相同操作*/module tail_lamp(input sys_clk, //系统时钟,外部时钟信号的最开始的输入,还需要经历分频才可以被状态机、计数器使用input sys_rst_n, //系统复位,当输入为低电平逻辑‘0’时,所有的模块都产生复位,系统回复到初始状态input [3:0] state_in, //拨码开关输入,四位拨码开关的输入,实际上我们只使用四个状态,另其中仅有一位为高电平,即可实现四个状态的变化output reg [2:0] led_left, //左侧尾灯[msb~lsb] = [R,G,B],三位的输出信号,控制可控颜色led灯的闪亮和颜色的变化output reg [2:0] led_right, //右侧尾灯[msb~lsb] = [R,G,B],三位的输出信号,控制可控颜色led灯的闪亮和颜色的变化output reg [7:0] led_out //流水灯输出,八位的信号输出,可以利用状态机实现);parameter CNT_NUM = 6_000_000; //计数器实现分频的分频系数,经历分频系数为6000000的分频,可以使信号变为周期为1s,频率为1Hz的信号reg [23:0] cnt; //计数器,定义24位的变量作为计数器reg [3:0] current_state;//当前状态,定义4位的变量为当前信号reg [3:0] next_state; //下一个状态,定义4位的变量作为下一状态信号,与current_state当前状态相关reg clk_1hz; //1Hz分频信号,clk外部时钟信号经过分频系数为6000000的分频器输出的信号,频率为1Hzreg [7:0] _go; //前进时单色LED的状态,定义8位的信号作为前进时候的状态信号reg [7:0] _back; //倒车时单色LED的状态,定义8位的信号作为后退时候的状态信号/*计数器实现0.5秒的周期*/always@(posedge sys_clk or negedge sys_rst_n)if(!sys_rst_n)cnt <= 1'b0;else if(cnt >= CNT_NUM-1)cnt <= 1'b0;elsecnt <= cnt + 1'b1;/*当外部输入时钟有下降沿变化变化、或者复位信号有变化的时候,该计数模块启动;如果输入的复位信号为低电平的时候,复位信号低电平有效,产生复位效果,计数器cnt置为全零状态;如果复位信号为高电平的时候,复位信号不产生效果,则计数器cnt正常计数。

汽车尾灯控制器(正文)

汽车尾灯控制器(正文)

1.引言随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。

现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。

本课程设计根据状态机原理实现了汽车尾灯常用控制。

1.1 设计目的本次设计的目的就是通过实践深入理解状态机原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。

通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。

通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高分析、解决EDA技术实际问题的独立工作能力。

1.2 设计内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。

汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。

通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

2.EDA、VHDL简介2.1 EDA技术2.1.1 EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.1.2EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

汽车尾灯控制电路设计说明书要点

汽车尾灯控制电路设计说明书要点

中北大学课程设计说明书学生姓名:学号:学院:信息与通信工程学院专业:光电信息科学与工程题目:汽车尾灯控制电路设计指导教师:职称:指导教师:职称:201X年 X月X日中北大学课程设计任务书201X/201X 学年第一学期学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号:课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学指导教师:学科管理部主任:下达任务书日期: 201X年X月X日目录摘要 0汽车尾灯控制电路设计摘要本文介绍了一种设计模拟汽车尾灯工作情况电路的方法,实现由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

主要阐述了通过 555 系列来制作脉冲产生器,任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计。

设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

通过计数器实现左转弯和右转弯时的尾灯定时循环闪烁,以及刹车时尾灯的定时闪烁。

关键词:模式控制电路译码显示驱动电路脉冲发生电路1.设计目的任务及要求1.1设计目的本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。

另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。

1.2设计任务假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。

1.3 设计条件本设计是基于在学习过数字电子技术基础和模拟电子技术基础且在完成电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Protel99SE,Proteus等设计仿真软件。

1.4设计内容和要求假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。

汽车尾灯

汽车尾灯

xx大学数字电路实习报告(汽车尾灯控制器)姓名:班级:学号:院系:指导教师:实习日期:目录一、实习目的和任务 (3)二、软件介绍 (3)三、电路设计 (4)1、设计任务 (4)2、设计要求 (4)3、系统总体设计方案 (5)4、方案特点 (6)5、控制电路设计 (6)6、计数电路设计 (6)四、原理图与仿真结果 (7)五、实习体会 (8)六、参考文献 (9)七、教师评语 (10)一、实习目的和任务1、实验目的汽车左右各有3个尾灯。

用来指示汽车左转、右转和刹车时的工作状态。

当汽车左转或右转时,左侧或右侧的尾灯给出相应的指示;当刹车时,左右尾灯同时给出指示。

若正常直行时,所有尾灯无指示。

汽车尾灯控制器可通过左转信号、右转信号和刹车信号来控制尾灯的显示。

2、设计任务与要求设计一个汽车尾灯控制器来实现尾灯的控制。

设计要求如下:1.控制器设有左转、右转和刹车3个输入信号;2.控制器设有6个输出信号,分别接到汽车左右侧的6个尾灯;3.当汽车正常直行时,6个尾灯全灭;全刹车时,6个尾灯全亮;4.当汽车左转时,左侧的3个尾灯按照000-001-100-000的顺序循环点亮,而右侧的3个尾灯全灭;当汽车右转时,右侧的3个尾灯按照000-100-001-000的顺序循环点亮,而左侧的3个尾灯全灭;5.若汽车在转弯时刹车,则向转弯侧的3个尾灯按照转弯时的显示规律显示,而另一侧的3个尾灯全亮。

二、软件介绍Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

汽车尾灯控制系统

汽车尾灯控制系统

汽车尾灯控制系统设计任务:汽车尾灯控制系统(一)顶层系统方案构思图9-21表示汽车尾灯控制系统的顶层符号图。

汽车尾灯控制器命名为QWK。

输入信号:左转弯传感器LH,右转弯传感器RH和紧急制动或慢行传感器JMH,另外,汽车尾灯主要是给后面行使汽车的司机注意。

为了使尾灯的光信号更明显,采用亮灭交替的闪烁信号,其闪烁周期为2秒,即尾灯亮1秒,灭1秒,再亮1秒…。

在图9-21中设置了一个1秒时钟的输入信号CP。

输出信号:输出共设两个,左面一个尾灯,右面一个尾灯,既左转弯时指示灯LD和右转弯时指示灯RD。

(二)控制器设计从上至下的设计方法首先是从系统级分析,然后进行模块划分,分为受控部分和控制器部分。

此尾灯控制系统的受控部分是传感器和指示灯,仅用几个二进制信号就可以完成,所以我们把精力放在设计控制器模块上。

汽车尾灯控制系统设置为四个状态,它们有以下定义:A状态:传感器LH、RH、JMH信号无效,皆为0,表示汽车保持一定速度的直行或静止不动,左尾灯LD和右尾灯RD不闪烁发光。

B状态:传感器LH有效,RH、JMH无效,此时LH、RH、JMH状态为100,表示汽车向左转,左尾灯交替闪烁,右尾灯灭。

C状态:传感器RH有效,LH、JMH无效,此时LH、RH、JMH状态为010,表示汽车向右转,右尾灯交替闪烁,左尾灯灭。

D状态:传感器JMH有效,LH、RH无效,此时LH、RH、JMH状态为001,表示汽车慢行或紧急情况发生采取紧急制动,左右尾灯同时交替闪烁。

根据上述情况可以初步画出汽车尾灯控制系统的MDS图,如图9-22所示。

但是,图9-22的MDS图还存在以下几个问题。

1. 汽车在左转弯或右转弯时,相对应的左侧灯或右侧灯闪烁,但汽车同时有可能要放慢速度,JMH传感器有效;或者汽车已经放慢速度,JMH传感器生效,使左侧灯和右侧灯闪烁,同时又要左转弯或右转弯,使得LH或RH有效。

此时LH、RH、JMH状态为101或011,尾灯控制器同时进入B和D状态或C和D状态,这是不可能的,因为对于LH和JMH(或RH和JMH)同时为1,即LH·JMH(RH·JMH)=1这样的转换条件,只能有一个状态,使上述两个状态的次态规定为D状态。

汽车尾灯说明书范本(1)

汽车尾灯说明书范本(1)
教研室主任(签名):
课程设计成绩评定表
出勤
情况
出勤天数
缺勤天数




出勤及设计过程表现(20分)
课设答辩(20分)
电路仿真(30分)
说明书(30分)
总成绩(100分)
提问
(答辩)
问题
情况




指导教师签名:
年月日
目录
1引言1
1.1设计目的1
1.2设计背景1
2设计原理2
3总体设计3
3.1设计方案3
⑶撰写设计说明书。
二、设计原始资料
Multisim仿真软件、课件、芯片资料。
三、要求的设计成果(课程设计说明书、设计实物、图纸等)
课程设计说明书、仿真电路图。
四、进程安排
周1讲解整个设计要实现的功能,查阅相关资料,画出整体电路;
周2、3进行仿真并调试;
周4撰写课程设计任务书;
周5课程设计答辩并提交设计说明书。
三要求的设计成果课程设计说明书设计实物图纸等课程设计说明书仿真电路图
唐山学院
《数字电子技术》课程设计
题目
系(部)信息工程系
班级
姓名
学号
指导教师成凤敏马军爽樊艳
年月日至月日共1周
年月日
《数字电子技术》课程设计任务书
一、设计题目、内容及要求
设计题目:汽车尾灯控制电路有3个指示灯,汽车运行时具有如下模式:
⑴汽车正向行使时,左右两侧的指示灯全部处于熄灭状态。
⑵汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮。
⑶汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮。
⑷汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。

十代思域n1尾灯说明书

十代思域n1尾灯说明书

十代思域n1尾灯说明书
首先用8号的套筒拆掉尾灯上的两颗螺丝,上面后备盖也有3可螺丝,要用到10个大的套筒,拆掉后备盖的黑色饰板,上面的卡子
全部拆掉,拿下装饰板,拿掉饰板之后一边还有一颗螺帽也需要拆掉,就这样,后备盖上的尾灯就下来咯,一共6颗螺丝,拿掉灯线的插头。

尾灯的插头,这是N1的尾灯总成。

原车的灯座需要拆下来,装到N1总成上面,取下原车灯泡不用,然后对插改装总成上面,记住要分正反面。

使用方法:
电源模式处于ON位置时,可使用转向信号。

一格推动转向信号:
当您轻轻向上或向下推并松开转向信号杆时,车外转向信号灯和转向信号指示灯闪烁3次。

此功能适用于较快速变道情况。

不管电源模式处于什么位置,转动车灯开关均可打开或关闭车灯。

远光:
向前推控制杆直至听到一声咔嗒声。

近光:
当远光时,向后拉控制杆以恢复为近光。

闪远光:
向后拉控制杆,然后松开。

在电源模式处于ON位置时可使用自动照明控制。

将车灯开关置于AUTO位置时,前照灯和其它车外灯将据环境亮
度自动打开和关闭。

若要打开前雾灯:
将开关从OFF位置向上转至前雾灯位置。

前雾灯指示灯点亮。

若要打开前和后雾灯:
将开关从前雾灯位置向上转动一个位置。

前雾灯和后雾灯指示灯点亮。

若要打开后雾灯:
将开关从OFF位置向下转动。

后雾灯指示灯点亮。

miniCooper r55 尾灯说明书

miniCooper r55 尾灯说明书

miniCooper r55 尾灯说明书
minicooper尾灯如何开, 这一款车的灯光调节面板在方向盘左边,车友们可以立刻通过这一调节面板来调节灯光系统。

非常多车辆的灯光调节开关基本都是旋钮式的,这样的开关用起来是十分方便的。

有些车辆也会立刻使用按钮式开关来调节灯光。

有些车配备了自动大灯,配备自动大灯的车辆灯光调节旋钮上有一个auto挡,转到这一挡位以后,灯光就是自动调节的了。

自动大灯是一个十分有用十分方便的置配。

这样的大灯在黑天时会自动打开,在白天时会自动关闭。

而且有些车配备了智能化的自动大灯,这样的自动大灯是可以识别行人和机动车的。

假如这样的大灯识别到了行人,那这样的大灯会只照射行人的下半身,这样子可以防止晃到行人的眼睛,而且也可以确保司机能清楚看到行人。

智能化的自动大灯是可以自动切换远近光的,而且还可以自动调整照射角度。

假如对面有来车,那这样的大灯可以自动调低照射角度,这样子可以防止晃到对面来车司机的眼睛。

在市区道路内驾驶时,车友们一定不要打开远光灯,这样子会关系前方汽车司机的视线,也会关系对向来车司机的视线。

11.汽车尾灯控制系统

11.汽车尾灯控制系统

汽车尾灯控制系统性能要求:1 、汽车左转弯时,在左转弯开关控制下,左侧三个尾灯如下图所示,周期性的亮灭。

2 、汽车右转弯时,在右转弯开关控制下,右侧三个尾灯也如上图所示周期性的亮灭。

3 、左右两个开关都作用时,两侧尾灯作同样的周期性亮、灭动作。

4 、在制动开关作用时,六个尾灯4 、在制动开关作用时,六个尾灯同时亮,若在转弯情况下制动,则一侧三个尾灯周期性的亮灭,另一侧三个尾灯则均亮。

本系统用六个发光二极管模拟六个尾灯,汽车每侧三个,用三个乒乓开关分别模拟左右转弯和制动开关。

基本原理:此电路系统是利用基本门电路和触发器设计的一个数字系统,既有组合逻辑,又有时序逻辑。

汽车尾灯控制电路由( 1 )控制电路;( 2 )时钟发生电路;( 3 )逻辑电平指示;( 4 )逻辑开关四部分组成。

原理框图由下图所示:1 、控制电路( 1 )转弯信号是由三个触发器构成的四状态计数电路,此电路由中规模集成电路 74LS160 实现。

由表可见,置数端 ABCD = 1111 ,预置数端函数 LD = Q2Q3(2) 尾灯控制逻辑以 A 、 B 、 C 表示三个尾灯,有两种情况可以使左侧尾灯亮,一为左转弯时,另一为刹车时,故左侧尾灯控制逻辑表达式如下:同样右侧尾灯控制表达式为:式中 K 左, K 右, K 制分别表示左、右开关和制动开关,当开关合上时为“ 1 ”;打开为“ 0 ”,表达式( 1 )——( 6 )表明:合上左侧开关,断开右侧开关和制动开关,即 K 左= 1 , K 制= 0 , K 右= 0 ,则 A1 随计数器 Q1 状态而变化,也就是说左侧第一个尾灯随 Q1 电平变化而亮灭。

依次类推左侧另外两个灯分别随 Q2 、 Q3 状态而亮灭。

这样,左侧的三个尾灯呈周期性亮灭。

而右侧灯不亮。

同样,合上右转弯开关,断开左转弯开关和制动开关,右边三个尾灯分别随 Q1 、 Q2 、 Q3 状态而亮灭。

若合上制动开关,断开左右开关则两侧六个灯都亮,表示处于刹车状态。

汽车尾灯控制电路说明书

汽车尾灯控制电路说明书

中北大学课程设计说明书学生姓名:学号:学院:信息与通信工程学院专业:光电信息科学与工程题目:汽车尾灯控制电路设计指导教师:职称:指导教师:职称:201X年 X月XX日中北大学课程设计任务书201X/201X 学年第一学期学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号:课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学指导教师:学科管理部主任:下达任务书日期: 201X年X月X日目录摘要 (1)1 引言 (2)2 设计内容分析及要求 (3)2.1设计目的 (3)2.2 设计任务及主要技术指标 (3)3 方案论证及设计原理 (4)3.1 方案确定与论证 (4)3.2 设计原理 (4)3.2.1 汽车尾灯显示状态与汽车运行状态的关系 (4)3.2.2 汽车尾灯控制器功能描述 (4)3.2.3 设计方案及框图 (5)4 电路模块设计 (6)4.1 555多谐振荡电路 (6)4.2 三进制循环控制电路 (7)4.3 译码输出电路 (9)4.4 开关控制电路 (10)4.5 译码及显示驱动电路 (12)5 电路的仿真与分析 (13)5.1 电路的仿真 (13)5.2 电路的分析 (17)6 元件列表 (18)7 心得与体会 (19)参考文献 (20)附录一原理图 (21)附录二 PCB图 (22)汽车尾灯控制电路设计摘要本文介绍了一种设计模拟汽车尾灯工作情况电路的方法,实现由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

主要阐述了通过 555 系列来制作脉冲产生器,任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计。

设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

通过计数器实现左转弯和右转弯时的尾灯定时循环闪烁,以及刹车时尾灯的定时闪烁。

关键词:模式控制电路译码显示驱动电路脉冲发生电路1 引言汽车尾灯控制电路是很常用的工作电路,在日常的生活中都有很广泛的应用。

五菱荣光面包车尾灯说明

五菱荣光面包车尾灯说明

五菱荣光面包车尾灯说明
1、后位置灯:从车辆后方观察,用于表明车辆存在和宽度的灯;
2、后转向灯:用于向后方其他道路使用者表明车辆将向右或向左转向的灯;
3、制动灯:向车辆后方其他使用道路者表面车辆正在制动的灯;
4、后雾灯:在大雾情况下,从车辆后方观察,使得车辆更为易见的灯;
5、倒车灯:照明车辆后方道路和警告其他使用道路者,车辆正在或即将倒车的灯;
6、后回复反射器:通过外来光源照射后的反射光,向位于光源附近的观察者表明车辆存在的装置。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊目录绪论 (2)第1章设计系统的介绍 (3)1.1 设计软件Multisim的介绍 (3)1.2设计语言 C语言的介绍 (3)第2章方案设计及规划 (4)2.1 设计内容及要求 (4)2.2设计方案分析及比较 (4)2.4设计方案规划及设计(具体设计) (5)第3章软件设计及仿真 (9)第 4章电路的制作 (19)第5章心得体会 (21)主要元器件清单 (22)参考文献 (23)┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊绪论汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。

在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。

汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。

难以满足现代汽车的智能化发展[8]。

随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。

利用硬件描述语言对数字系统的硬件电路进行描述是EDA的关键技术之一。

C语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,且有与具体硬件电路无关和与设计平台无关的特性.在语言易读性和层次化结构设计方面表现出强大的生命力和应用潜力。

本文采用先进的EDA技术,设计了一种基于FPGA的汽车尾灯控制系统.并对系统进行了仿真及验证。

用一片FPGA芯片实现从而大大简化了系统结构,降低了成本。

提高了系统的先进性和可靠性,能实现控制器的在系统编程。

采用这种器件开发的数字系统其升级与改进极为方便。

LED(1ight emitting diode,发光二极管)由于其具备体积小、寿命长、低能耗、耐震动、无频闪及反应速度快等优点已成为备受瞩目的新一代车灯光源技术。

目前通用的汽车尾灯光源仍然是白炽灯和节能灯占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路交通带来安全隐患等。

现有的LED汽车尾灯主要有两种:一种是用多个LED密布于灯壳内直接经配光透镜配光,其缺点是用了多颗LED或者用大功率LED,成本高;另外一种是将LED排布成平面或者柱状置于灯壳内,经自由曲面反射腔配光或自由曲面反射腔和配光透镜联台配光,其缺点是自由曲面反射腔制作工艺复杂。

现针对目前LED汽车尾灯配光困难、体积大等缺陷,有效利用LED光源体积小、亮度高等特点,设计出一种节能、高效的新型组合式LED汽车尾灯。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊第1章设计系统的介绍1.1 设计软件Multisim的介绍Multisim(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。

其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、双踪示波器(对于multiSIM7还具有四踪示波器)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪和电压表及电流表等仪器仪表。

还提供了我们日常常见的各种建模精确的元器件,电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。

模拟电路方面有各种运算放大器、其他常用集成电路。

数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。

MultiSIM7还具有I-V分析仪和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。

同时它还能进行VHDL仿真和Verilog HDL仿真。

1.2设计语言 C语言的介绍C语言是一种计算机程序设计语言。

它既具有高级语言的特点,又具有汇编语言的特点。

它由美国贝尔研究所的D.M.Ritchie于1972年推出。

1978后,C语言已先后被移植到大、中、小及微型机上。

它可以作为工作系统设计语言,编写系统应用程序,也可以作为应用程序设计语言,编写不依赖计算机硬件的应用程序。

它的应用范围广泛,具备很强的数据处理能力,不仅仅是在软件开发上,而且各类科研都需要用到C语言,适于编写系统软件,三维,二维图形和动画。

C语言发展如此迅速,而且成为最受欢迎的语言之一,主要因为它具有强大的功能。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊第2章方案设计及规划2.1 设计内容及要求本次设计要求根据已知条件,设计、制作一个汽车尾灯显示的51电路。

设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:1. 汽车正常行驶时,尾灯全部熄灭。

2. 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

3. 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

4. 临时刹车时,所有指示灯同时闪烁。

5. 选择电路方案,完成对确定方案电路的设计。

设计要求画出电路原理图并阐述其基本原理;元器件及参数选择;电路仿真与调试;制作实际运行装置。

2.2设计方案分析及比较在设计本电路时,一共考虑过两种方案。

下面简单的介绍一下这两种方案:第一种方案:通过把脉冲电路、开关控制电路、三进制电路、译码与显示驱动电路等模块组合来实现。

首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。

其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。

最后,左转、右转的原始信号通过6个与非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。

得到的信号即可输出到发光二极管上,实现所需功能[11]。

这种方案的实现复杂,成本高,调试起来不容易成功,太过繁琐。

第二种方案:通过STC89C52单片机编写程序,来控制LED的亮灭。

这种方案中单片机编程较直接,用硬件电路搭建方便,通过STC89C52单片机编写程序,来控制LED的亮灭。

这样可以大大简化系统结构,降低材料的成本。

提高系统的先进性和可靠性,能实现控制器的系统编程。

采用这种器件开发的数字系统其升级与改进极为方便。

经过小组商量,我们选择了使用第二种方法。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊一、由于现在单片机技术普及,加上用单片机实现起来很方便也很简单,所以我决定使用单片机来驱动整个电路的运行。

二、单片机的运行都是要有晶振驱动的,有的单片机是内部晶振驱动单片机,有的单片机是外部设计含有晶振的驱动电路来驱动单片机的运行。

所以要设计一个驱动电路去驱动单片机。

三、需要往单片机中下载编好的程序,一是用在线下载,二是用下载器下载到单片机中。

在没有下载器的情况下,使用在线下载很方便。

2.4设计方案规划及设计(具体设计)1 系统设计框架图如图2.1所示。

图2.1 框架图2 设计任务设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(D1-D3)3个发光二极管。

用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。

当右转弯开关被打开时,右转弯尾灯显示的3个发光二极管按右循环显示。

当左转弯开关被打开时,左转弯尾灯显示的3个发光二极管按左循环显示。

当急刹车时,6个发光二极管闪烁[1]。

D1 D2D3D1 D2D3D1 D2D3图2.2 右转弯显示规律图方案确定(单片机)软件编程软件仿真测试元器件选择电路连接┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊L3L2L1L3L2L1L3L2L1图2.3 左转弯显示规律图L1L2L3D1D2D3L1L2L3D1D2D3图2.4 急刹车显示规律图根据以上要求,要实现当右转弯开关打开时,右转弯尾灯显示的3个发光二极管按右循环规律显示如图2.2;当左转弯开关打开时如图2.3;急刹车时如图2.4。

根据不同的状态,绘制汽车尾灯和汽车运行状态表如表2.1所示。

设左转弯按键为key1,右转弯按键为key2,急刹车按键为key3。

开关控制汽车运行状态右转尾灯左转尾灯key1 key2 key3 D1D2D3L1L2L30 0 0 正常运行灯灭灯灭1 0 0 左转弯灯灭L3→L2→L1→L30 1 0 右转弯D1→D2→D3→D1灯灭0 0 1 急刹车闪烁闪烁表2.1 汽车尾灯和汽车运行状态表3 整体电路工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:按键电路、LED显示电路、含有晶振的驱动的电路、复位电路。

单片机在不停的扫描3个按键相连的IO口的高低电平,当3个按键中的一个按键按下去后,单片机会确定是那个按键按下去了;当没有按键按下去的时候,这3个IO口管脚都是高电平;当某一个按键按下去后,与该按键想连接的IO口管脚变成低电平;根据不同的按键按下去的情况,控制与6个LED相接的IO口的高低电平来控制LED亮灭情况。

4 单元电路设计1)按键电路:最左边为急刹车按键,中间为右刹车按键,最右边为左刹车按键。

单片机在不停的扫描3个按键相连的IO口的高低电平,当3个按键中的一个按键按下去后,单片机会确定是那个按键按下去了;当没有按键按下去的时候,这3个IO口管脚都是高电平;当某一个按键┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊按下去后,与该按键想连接的IO口管脚变成低电平[8]。

如图2.5所示。

R3(2)R310kR410kR510k图2.5 按键电路图2)LED电路:要在左转向的时候,左边的3个灯左循环点亮,右转向的时候,右边的3个灯右循环循环点亮,急刹车的时候全部的LED闪烁,于是我选择了左循环的3个不同颜色的LED,右循环的3个LED的颜色和左循环的3个LED颜色一样,当循环点亮的时候很直观。

如图2.6所示。

D3左LED-REDD2左LED-GREEND1左LED-BLUER1(2)D3右LED-REDD2右LED-GREEND1右LED-BLUER112U1(P2.0/A8)图2.6 LED电路图6 其他部分的设计1)含有晶振的驱动的电路:没有驱动电路的话,也就是晶振不起振,那单片机就不能工作。

相关文档
最新文档