DAC0832接口电路及程序设计

合集下载

接口芯片DAC0832的应用三角波、梯形波两种波形

接口芯片DAC0832的应用三角波、梯形波两种波形

课程设计(论文)课程名称: 微型计算机组成原理与接口技术组 别:第十组题 目: 接口芯片 DAC0832 的应用院 (系): 信息与控制工程系专业班级: 电子信息科学与技术 1202姓 名:学 号:指导教师:2015 年 月 日1 / 17某建筑科技大学华清学院 《微机原理与接口技术》课程设计(论文)任务书专业班级:电子信息科学与技术1202学生某:指导教师(签名):一、课程设计(论文)题目波形发生器的设计二、本次课程设计(论文)应达到的目的基于 Proteus 软件,绘制 8086 微处理器和 DAC0832 外围电路,实 现波形发生器的设计。

三、本次课程设计(论文)任务的主要内容和要求(包括原始数据、技术 参数、设计要求等) 1、利用 Proteus 软件绘制由 8086 微处理器和 DAC0832 构造波形发生器; 2、利用汇编语言编写程序实现三角波、梯形波两种波形; 3、综合调试实现仿真功能。

四、应收集的资料及主要参考文献: 1.X乐善.微型计算机接口技术及应用[M].某:华中科技大学,2000 2.陈红卫.微型计算机基本原理与接口技术[M].:科学,2003 3.顾晖,梁惺彦等.基于8086和Proteus仿真[M].:电子工业,2011. 五、审核批准意见教研室主任(签字)2 / 17摘要D/A 转换器即 DAC 是指把输入的数字信号量信息转换成为对应的模拟量信 号输出。

本次课设是采用 DAC0832 波形发生器来设计和实现,系统利用 8086 作 为系统的核心来控制整个电路,加上 74154TTL 4 线—16 线译码器和 74273TTL 带公共时钟复位八 D 触发器以及 7427TTL3 输入端三或非门等器件的使用来完成 整个电路的设计,从而实现三角波,方波,锯齿波和阶梯波等波形。

关键字:DAC0832 波形发生器1 / 17目录1、绪论………………………………………………………1 2、设计原理…………………………………………………2 3、设计程序…………………………………………………7 4、系统联调…………………………………………………11 5、总结………………………………………………………121 / 17波形发生器的设计一、绪论 波形发生器是一种常用的应用电子仪器设备,广泛地应用于电子电路、自动控制系统和教学实验等领域。

DAC0832波形发生器课程设计实验报告

DAC0832波形发生器课程设计实验报告

DAC0832波形发生器课程设计实验报告目录第1章系统设计方案 (2)1.1 设计思路 (2)1.2 方案比较与选择 (2)第2章系统硬件设计..................................................................................2. 2.1 主控制器电路 (2)2.2 数模转换电路 (3)第3章系统软件设计................................................................................ .6 3.1 系统整体流程...................................................................................... .6 3.2 数模转换程序...................................................................................... .6 第4章系统调试 (8)4.1 proteus的调试 (8)第5章结论与总结 (11)5.1 结论 (11)(系统总体设计与完成做一个总结,是客观的,主要包括:设计思路,设计过程,测试结果及完善改进的方向。

)5.2 总结 (11)(这是一个主观的总结,谈谈自己收获和不足等方面的内容。

)第1章系统设计方案1.1 设计思路(一)、课设需要各个波形的基本输出。

如输出矩形波、锯齿波,正弦波。

这些波形的实现的具体步骤:正弦波的实现是非常麻烦的。

它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。

然而为了实现100HZ的频率,终于发现,将总时间除了总步数,根据每步执行时间,算出延时时间,最终达到要求,然后建一个表通过查表来进行输出,这样主要工作任务就落到了建表的过程中。

微机原理课程设计利用DAC0832实现正弦波输出.

微机原理课程设计利用DAC0832实现正弦波输出.

微机原理与接口技术课程设计题目:利用DAC0832实现正弦波输出.班级:.姓名:.学号:.日期:2011年12月15日目录1、引言 ........................................................................................................... 错误!未定义书签。

1.1背景和编写目的..................................................................................... 错误!未定义书签。

1.2 术语和缩写................................................................................................ 错误!未定义书签。

2.系统组成........................................................................................................ 错误!未定义书签。

3.硬件设计........................................................................................................ 错误!未定义书签。

3.1 8259A模块:............................................................................................. 错误!未定义书签。

3.2 DAC0832模块 ........................................................................................... 错误!未定义书签。

DAC0832简介及参考电路

DAC0832简介及参考电路

(2)可通过循环程序段的机器周期数,计算出锯齿波的周
期。并可根据需要,通过延时的方法来改变波形周期。若要 改变锯齿波的频率,可在AJMP MM指令前加入延迟程序即可。
延时较短时可用NOP指令实现(本程序就是如此),需要延
时较长时,可以使用一个延长子程序。延迟时间不同,波形 周期不同,锯齿波的斜率就不同。 (3)通过A加1,可得到正向的锯齿波,反之A减1可得到负 向的锯齿波。 (4) 程序中A的变化范围是0~255,因此得到的锯齿波是 满幅度的。如要求得到非满幅锯齿波,可通过计算求的数字 量的处置和终值,然后在程序中通过置初值和终值的方法实
为使输入寄存器处于受控锁存方式,应把WR1接80C51的 WR,ILE接高电平。此外还应把CS接高位地址线或地址译码 输出,以便于对输入寄存器进行选择。
图9.3 DAC0832单缓冲方式接口
DAC0832 Vcc ILE Vref R DI7 0 I fb out1 Iout2 CS
XFER
+5V +
地址 锁存 与 译码 6 START 10 CLK
D0 11 V cc 13 GND
IN3 IN4 IN5 IN6 IN7 START EOC D3 OE CLOCK Vcc Vref(+) GND D1
1 2 3 4 5 6 7 8 9 10 11 12 13 14
28 27 26 25 24 23
现。
【例9.2】 矩形波电压发生器 采用单缓冲方式,口地址设为0FEFFH. 参考程序如下: ORG START: MOV 1100H DPTR , #0FEFFH ;送DAC0832口地址
LOOP:
MOV
MOVX LCALL
A , #dataH

微机原理与接口技术课程设计(报告)

微机原理与接口技术课程设计(报告)

湖南科技大学潇湘学院信息与电气工程系《微机原理与接口技术》课程设计报告题目:基于D/A转换器DAC0832的波形发生器设计专业:通信工程班级:通信002班姓名:刘黎辉学号:0954040217指导老师:欧青立陈君宋芳课程设计任务书目录一、课程设计的目的及意义 (1)1.设计目的 (1)2.设计意义 (1)二、方案论证 (1)1.设计要求 (1)2.方案论证 (1)三、硬件电路设计 (2)1.波形产生电路 (2)2.按键控制电路 (4)3.地址译码电路 (6)四、程序设计 (7)1.波形发生原理 (7)2.程序流程图 (7)五、硬件连接及调试 (8)1.硬件连接 (8)2.电路调试 (8)六、体会与心得 (8)七、参考书目: (9)八、附录 (9)1.电路原理图............................................................................................ 错误!未定义书签。

2.程序源码................................................................................................ 错误!未定义书签。

一、课程设计的目的及意义1.设计目的(1)掌握计算机应用系统特别是微机接口系统的设计。

(2)掌握接口电路设计技术,初步掌握电子设计软件Protel99使用。

(3)掌握微机接口程序的编制与调试技术。

(4)掌握DAC0832芯片的使用方法。

(5)利用所学微机的理论知识进行软硬件整体设计,提高综合应用能力。

2.设计意义波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。

在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。

单片机课件(ADC0809和DAC0832)

单片机课件(ADC0809和DAC0832)

解:
MAIN: MOV R1,#40H
;置数据区首址
MOV R7,#8
;置通道数
SETB P1.0
;置P1.0输入态
MOV DPTR,#0FEF8H ;置0809通道0地址
LOOP: MOVX @DPTR,A
;启动A/D
JNB P1.0,$
;查询A/D转换结束否?未完继续查询等待
MOVX A,@DPTR
2021/7/13
14
2、硬件电路设计
该芯片既可用于A/D转换(模拟信号从AIN0~AIN3输 入),又可用于D/A转换(D/A转换模拟量从AOUT输出), 器件地址为1001,若A2A1A0接地,D/A转换写寻址字节 SLAW=90H,A/D转换读寻址字节SLAR=91H。
2021/7/13
15
MOV NUMB,#1
;置发送字节数
LCALL WRNB
;发送控制命令字
MOV R0,#50H
;置A/D数据区首址
VADC0: MOV SLA,#91H
;置接收寻址字节
MOV NUMB,#2
;置接收字节数
LCALL RDNB
;读A/D转换数据
MOV @R0,41H
;存A/D转换数据(存在50H~53H)
2021/7/13
12
ADC3: JNB RI,ADC3
;接收第二字节
CLR RI
;清接收中断标志
MOV A,SBUF
;读第二字节数据
ANL A,#0FH
;第二字节屏蔽高4位
ANL B,#0FOH
;第一字节屏蔽低4位
ORL A,B
;组合
SWAP A
;高低4位互换,组成正确的A/D数据

DAC0832接口电路及程序设计

DAC0832接口电路及程序设计
电子发 烧友 电子 技术论坛
DAC0832接口电路输出控制程序
电子发 烧友 电子 技术论坛
DAC0832输出控制接口电路程序符号图


begin if rst='1' then q<=0; --复位,对计数器q清零 elsif clk'event and clk='1' then if q=63 then q<=0; --此IF语句对系统时钟进行64分频 if data="11111111" then data<="00000000"; --此IF语句产生锯齿波波形数据 else data<=data+1; end if; else q<=q+1; end if; end if; end process; 电子发 ile<='1';cont<='0';data_out<=data; --ile、cont赋值;波形数据输出; 烧友 电子 end behav; 技术论坛
8.6 DAC0832接口电路及程序设计
http://wwLeabharlann 电子发 烧友 电子 技术论坛
DAC0832 接口电路设计



DAC0832是采用CMOS/Si-Cr工艺实现的8 位D/A转换器。 该芯片包含8位输入寄存器、8位DAC寄 存器、8位D/A转换器。 DAC0832中有两级锁存器,第一级即输 入寄存器,第二级即DAC寄存器,可以 工作在双缓冲方式下。
烧友 电子 技术论坛



DAC0832 接口电路程序设计
电子发 烧友 电子 技术论坛

DA转换芯片0832的性能及编程方法

DA转换芯片0832的性能及编程方法
DB 0F6H,0F7H,0F8H,0F9H,0FAH,0FBH,0FCH,0FDH
DB 0FDH,0FEH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH
DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FEH,0FDH
DB 0FDH,0FCH,0FBH,0FAH,0F9H,0F8H,0F7H,0F6H
6.参考文献················································20
1.
1.1
1.了解D/A转换的基本原理。
2.了解D/A转换芯片0832的性能及编程方法。
3.了解单片机系统中扩展D/A转换的基本方法。
1.2
利用DAC0832,编制程序产生锯齿波、三角波、正弦波。三种波形轮流显示。
2.3.2DAC0832
DAC0832的引脚图及逻辑结构如下图:
DAC0832各引脚功能
DI0~DI7:数据输入线;
ILE:数据允许锁存信号,高电平有效;
CS:输入寄存器选择信号,低电平有效。
WR1为输入寄存器的写选通信号;输入寄存器的锁存信号LE1由ILE、CS、WR1的逻辑组合产生。当ILE为高电平、CS为低电平、WR1输入负脉冲时,在LE1产生正脉冲;LE1为高电平,输入锁存器的状态随数据输入线的状态变化,LE1的负跳变将数据线上的信息锁入输入寄存器;
MOV DPTR,#PORT
MOV A,#DATA
MOVX @DPTR,A
INC DPTR
MOVX @DPTR,A
其中第二次I/O写是一个虚拟写过程,其目的只是产生一个WR信号。启动D/A。
2.1.2
DATA0: DB 80H,83H,86H,89H,8DH,90H,93H,96H

DAC0832数模转换实验报告131219x

DAC0832数模转换实验报告131219x

实验报告基于DAC0832的数模转换一、实验目的1.学习单片机控制技术----用单片机控制外部数模转换设备,实现D/A 转换;2.熟悉DAC0832芯片的内部结构、引脚功能、各种工作方式下的工作时序;3.熟悉并掌握51单片机系统硬件电路的基本工作原理,并学习硬件电路设计;4.学习C51单片机编程、调试方法。

二、实验任务利用51单片机控制DAC0832生成正弦波电压输出。

三、实验器材C51单片机 一块DAC0832 一块 LM324 一块 单孔板 一块 导线 若干 直流稳压电源 一台 示波器 一台四、实验原理1. 系统方案确立 1)硬件电路工作原理图4-1 信号发生器的硬件框图MCU 作为单片机微处理系统,通过Keyboard 输入可以产生正弦波数字信号的程序,使MCU 输出正弦波数字信号,通过DAC0832数模转换,变成正弦波的模拟信号,用示波器显示出来。

如图4-1所示。

DisplayMCU KeyboardDAC08322)程序工作流程图4-2 信号发生器的程序流程图2. 硬件电路设计1)单片机最小系统的组成单片机最小系统是指用最小元件组成的单片机工作系统。

对MCS-51系列单片机来说,其内部已经包含了一定数量的程序存储器和数据存储器,在外部只要增加时钟电路和复位电路即可构成单片机最小系统。

下图所示便是MCS-51系列单片机最小系统电路,由单片机芯片和典型的时钟电路和复位电路构成。

图4-3典型的时钟电路大多采用内部时钟方式,晶振一般在1.2~12MHz 之间,甚至可达到24MHz 或更高,频率越高,单片机处理速度越快,但功耗也就越大,一般采用11.0592MHz 的石英晶振。

与晶振相位复0D/A 芯片初始化Y相位>=2π?Ni++相幅转换 YN幅度D/A 转换输出定时器初始化 time 0=0 置定时到标志T0重赋值返回并联的两个电容1C 、2C 通常为30pF 左右,对频率有微调作用。

DAC0832中文资料

DAC0832中文资料

D/A转换器DAC0832DAC0830/DAC08328位μP兼容、双缓冲D/A转换器总述DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。

旨在直接与8080,8048,8085,Z80及其他通用的微型处理器进行相接。

存储的硅铬R-2R 电阻梯形网络将参考电流分开,并为电路提供合适的温度处理特性(全范围最大线性温度误差的0.05%)。

电路利用CMOS电流开关和控制逻辑来取得最少的电能损耗和最小的输出泄露电流误差。

特殊的电路也能提供TTL逻辑输入电压的水平兼容。

双缓冲可以使这些D/A转换器在获取下一个数位字时输出相应一个数位字的电压。

这就使得任何一个D/A转换器均可进行同步更新。

D/A转换器0830系列是8位的可兼容微型处理器的D/A转换器的集合。

特征⏹双缓冲,单缓冲,或流通数字数据输入⏹可容易地与12位1230系列D/A转换器进行互换且插脚兼容⏹可直接与所有流通的微型处理器相接⏹线性指定为零,且只能进行全面调整——不是最佳直线拟合⏹在±10V全参考4象限倍增中工作⏹可用于电压转换模式⏹逻辑输入满足TTL电压水平说明(1.4V逻辑门限值)⏹需要时,可运行“STAND ALONE”(没有μP)⏹存在于20插脚小型或者模塑芯片运载包中性能及规格描述⏹电流设置时间:1μs⏹分辨率:8位⏹线性度:8,9或者10位(保证温度)⏹低功耗:20mW⏹单电源提供:直流5-15V典型应用图1典型应用连接连接图图2双行和小外形封装图3 封装图绝对最大额定参数(注解1,2)如果需要军事/航空特定设备,请联系国家半导体销售中心/分支机构咨询其有效性及性能。

电源电压(VCC) 17V直流电压输出电压 VCC-GND输入VREF ±12V储存温度范围 -65 ° C至+150 ° C封装耗散当TA= 25 ℃(注3 ) 500Mw直流电压的应用IOUT1或IOUT2 (注4 ) -100 mV到VCC公共服务电子化Susceptability (注4 ) 800V焊接温度(焊接, 10秒。

DAC0832简介及参考电路解析

DAC0832简介及参考电路解析

MOV A, #00H
;取下限值
MOV DPTR,#7FFFH
;指向0832口地址
MM: MOVX @DPTR,A ;输出
INC A
;延时
NOP
NOP
NOP
SJMP MM
;反复
执行上述程序就可得到如图9.5所示的锯齿波。
V FFH
00H
t
T
图9.5 D/A 转换产生的锯齿波
几点说明: (1)程序每循环一次,A加1,因此实际上锯齿波的上升边是由 256个小阶梯构成的,但由于阶梯很小,所以宏观上看就如图 中所画的先行增长锯齿波。
A
;已到峰值,则取后沿
@DPTR , A ;输出
;延时
SS3
;未到谷值,则继续
SS2
;已到谷值,则反复
9.1.4 双缓冲方式的接口与应用
在多路D/A转换的情况下,若要求同步转换输出,必 须采用双缓冲方式。DAC0832采用双缓冲方式时,数字量 的输入锁存和D/A转换输出是分两步进行的。
第一, CPU分时向各路D/A转换器输入要转换的数字 量并锁存在各自的输入寄存器中。
-
WR1
Iout2
+
Vx
WR2
CS
XFER DAC0832
DI7 DI0+
Vy
WR2
图9.6 8031与DAC0832双缓冲方式接口电路
实现两路同步输出的程序如下:
MOV DPTR,#0DFFFH;送0832(1)输入锁存器地址
MOV A,#data1
;data1送0832(1)输入锁存器
表9-1 通道选择表
C B A 被选择的通道
000
IN0

1、使用DA转换器DAC0832产生梯形波,梯形波的上升段和

1、使用DA转换器DAC0832产生梯形波,梯形波的上升段和

1、使用D/A转换器DAC0832产生梯形波,梯形波的上升段和下降段宽度各为5ms和10ms,波顶宽度为50ms,请编程实现。

加上必要的伪指令,并对源程序加以注释。

ORG 0000HLJMP STARTORG 0030HSTART: CLR AMOV DPTR ,#8000H ;假设DAC的地址为8000HMOV R7, #03HUP: MOVX @DPTR, A ;送入数据(2us)INC A ;数据递增(1us)DLY: NOP ;延时(15us)NOPNOPDJNZ R7, DLYCJNE A, #0FAH,UP ;判断是否到5ms(2us)总共2+1+15+2=20us;一次20us,加250次到5msHIGH: MOV R7 #50H ;保持数据50msDLY1: MOV R6, #0FAHDLY2: NOPNOPDJNZ R6, DLY2DJNZ R7 DLY1MOV A, #0F9HMOV R5, #07HDOWN: MOVX @DPTR,A ;送入数据(2us)DEC A ;数据递减(1us)DLY3: NOP ;延时(35us)NOPNOPDJNZ R5, DLY3JNZ DOWN ;(2us) 共2+1+35+2=40us 250*40us=10msSJMP START ;开始新的周期2、单片机对A / D转换器转换的控制一般可以分为几个过程?3个过程:(1)单片机通过输出口发出启动转换信号,命令A / D转换器开始转换(2)单片机通过输入口读入A / D转换器的状态判断是否转换结束(3)当检测到转换结束,CPU向A / D转换器发出数据输出允许信号,读入转换结果3、利用ADC0809芯片设计以80C51为控制器的巡回检测系统。

8路输入的采样周期为0.8s,其他未列条件可自定。

请根据下图所示硬件原理进行程序设计。

加上必要的伪指令,并对源程序加以注释。

ORG 0000HLJMP MAINORG 0003HLJMP SERVORG 0030HMAIN: MOV DPTR, #0DFF8HMOV R7, #08HMOV R0, #30H ;从30H开始存数据SETB IT0 ;边沿触发SETB EX0 ;开外部中断0SETB EA ;开中断SETB F0 ;置中断标志START: MOVX @DPTR,A ;启动A/D转换JB F0,$ ;等待直到转换完成CLR EASETB F0 ;重新置中断标志位DJNZ R7,START ;8个通道转化结束MOV R7,#08HLCALL DLY ;延时0.8sMOV DPTR,#0DFF8HSETB EASJMP START ;开始新的转换SERV: MOVX A,@DPTR ;读入转换好的数据MOV @R0,A ;存数据INC DPTR ;指向下一个地址INC R0CLR F0RETIDLY: MOV R6,#4DLY0: MOV R5,#200DLY1: MOV R4,#250DLY2: NOPNOPDJNZ R4,DLY2DJNZ R5,DLY1DJNZ R6,DLY0RETEND。

ADC0832模拟波形发生器实验报告

ADC0832模拟波形发生器实验报告

控制基础实验——模拟波形发生器成绩________课程名称:__ ___________学院(系):专业:班级:学号:学生姓名:分工任务:一、实验题目1、设计一个波形发生器,使能输出锯齿波、三角波、正弦波等。

2、在proteus仿真软件中连接单片机系统硬件图,在keil c51软件中编写并调试应用程序,使能在proteus中运行并达到预期效果。

二、实验目的1、学会DAC0832芯片的基本知识,并掌握使用方法。

2、掌握单片机最小系统的基本知识,能设计并完成一些简单应用。

3、掌握Proteus及Keil软件在51系列单片机中的使用及调试。

4、会根据实际功能,正确选择单片机功能接线,编制正确程序。

对实验结果能做出分析和解释,能写出符合规格的实验报告。

三、实验工具软件:Proteus单片机仿真软件、keil51,PC机。

四、实验内容掌握DAC0832芯片的使用方法,在Proteus仿真软件中连接好电路图,在Keil中编写程序,使得能够输出较规范的锯齿波、三角波、正弦波。

五、实验原理1、单片机工作原理:单片机是指一个集成在一块芯片上的完整计算机系统。

通过编程控制单片机的I/O端口、中断、定时器、寄存器等部件可以完成很多应用。

2、DAC0832的工作原理:DAC0832是8分辨率的D/A转换集成芯片,由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。

3、DAC0832引脚功能说明:DI0~DI7:数据输入线,TLL电平。

ILE:数据锁存允许控制信号输入线,高电平有效。

CS:片选信号输入线,低电平有效。

WR1:为输入寄存器的写选通信号。

XFER:数据传送控制信号输入线,低电平有效。

WR2:为DAC寄存器写选通输入线。

Iout1:电流输出线。

当输入全为1时Iout1最大。

Iout2: 电流输出线。

其值与Iout1之和为一常数。

Rfb:反馈信号输入线,芯片内部有反馈电阻.Vcc:电源输入线(+5v~+15v)Vref:基准电压输入线(-10v~+10v)AGND:模拟地,摸拟信号和基准电源的参考地.DGND:数字地,两种地线在基准电源处共地比较好.4、输出形式式:单极性(本实验需要)、双极性。

基于51单片机和DAC0832的信号源(proteus电路图加程序)

基于51单片机和DAC0832的信号源(proteus电路图加程序)

基于51单⽚机和DAC0832的信号源(proteus电路图加程序)班级学号⾃动测试实验报告学院机电⼯程学院专业测控技术与仪器导师姓名吕晓洲学⽣姓名学号摘要本⽂介绍了以8051和DAC0832为核⼼的信号源,可以通过按键选择正弦波、⽅波、三⾓波、锯齿波和梯形波,也可以通过按键选择798.6Hz、266.2Hz、88.7Hz、29.6Hz、9.85Hz、3.3Hz、1.1Hz共九档频率。

波形和频率通过软件改变,幅值通过硬件放⼤的放⼤器改变。

本信号源具有结构简单、功能丰富、使⽤⽅便另外价格实惠等特点。

【关键词】单⽚机,8051,DAC0832,信号源,频率,波形⼀.实验要求以及⽅案选择1.实验要求:设计⼀个信号源,能产⽣正弦波、三⾓波、锯齿波、⽅波等简单的波形,能够⽅便改变波形和频率。

2.⽅案选择:⽅案⼀:完全由硬件电路制作,使⽤传统的锁相频率合成⽅法。

通过芯⽚IC145152,压控振荡器搭接的锁相环电路输出稳定性极好的正弦波,再利⽤过零⽐较器转换成⽅波,积分电路转换成三⾓波。

此⽅案,电路复杂,⼲扰因素多,不易实现。

⽅案⼆:直接利⽤波形产⽣芯⽚,例如,利⽤MAX038芯⽚组成的电路输出波形。

MAX038是精密⾼频波形产⽣电路,能够产⽣准确的锯齿波、三⾓波、⽅波和正弦波四种周期性波形。

但此⽅案成本⾼,程序复杂度⾼。

⽅案三:通过单⽚机控制DAC,输出五种波形。

此⽅案输出的波形分辨率不够⾼,频率有限。

但此⽅案电路简单、成本低,波1.80C51单⽚机80C51单⽚机属于MCS-51系列单⽚机,由Intel公司开发,其结构是8048的延伸,改进了8048的缺点,增加了如乘(MUL)、除(DIV)、减(SUBB)、⽐较(CMP)、16位数据指针、布尔代数运算等指令,以及串⾏通信能⼒和5个中断源。

采⽤40引脚双列直插式DIP(Dual In Line Package),内有128个RAM单元及4K的ROM。

它把构成计算机的中央处理器CPU、存储器、寄存器、I/O接⼝制作在⼀块集成电路芯⽚中,从⽽构成较为完整的计算机、⽽且其价格便宜。

使用DAC0832的DA转换实验

使用DAC0832的DA转换实验

实验一使用DAC0832的D/A转换实验一、实验目的熟悉DAC0832数模转换器的特性和接口方法,掌握D/A 输出程序的设计和调试方法。

1、DAC0832结构DAC0832是用先进的CMOS/Si-Cr工艺制成的双列直插式单片8位D/A转换器。

它可以直接和8088CPU相接口。

它采用二次缓冲方式(有两个写信号/WR1、/WR2),这样可以在输出的同时,采集下一个数字量,以提高转换速度。

而更重要的是能够在多个转换器同时工作时,有可能同时输出模拟量。

它的主要技术参数如下:分辨率为8 位,电流建立时间为1us,单一电源5V-15V直流供电,可双缓冲、单缓冲或直接数据输入。

DAC0832内部结构见图5-3 。

图5-3 DAC0832内部功能* /LE=“1”,Q输出跟随D输入,/LE=“0”,D端输入数据被锁存2、DAC0832引脚功能*DI0~DI7:数据输入线,TTL电平,有效时间应大于90ns(否则锁存的数据会出错);*ILE:数据锁存允许控制信号输入线,高电平有效;*/CS:选片信号输入线,低电平有效;*/WR1:输入锁存器写选通输入线,负脉冲有效(脉宽应大于500ns)。

当/CS为“0”、ILE 为“1”、/WR1为“0”时,DI0~DI7状态被锁存到输入锁存器。

*/XFER:数据传输控制信号输入线,低电平有效;*/WR2:DAC寄存器写选通输入线,负脉冲(宽于500ns)有效.当/XFER为“0”且/WR2有效时,输入锁存器的状态被传送到DAC寄存器中;*Iout1:电流输出线,当输入为全1时Iout1最大;*Iout2:电流输出线,其值和Iout1值之和为一常数;*Rfb:反馈信号输入线,改变Rfb端外接电容器值可调整转换满量程精度;*Vcc:电源电压线,Vcc范围为+5V~+15V;*VREF:基准电压输入线,VREF范围为-10V~+10V;*AGND:模拟地;*DGND:数字地。

2、DAC0832工作方式根据对DAC0832的输入锁存器和DAC寄存器的不同的控制方法,DAC0832 有如下三种工作方式:(1) 单缓冲方式此方式适用于只有一路模拟量输出或几路模拟量非同步输出的情形。

da数模转换实验报告范文

da数模转换实验报告范文

da数模转换实验报告范文篇一:微机原理实验报告--数模转换微机原理实验报告实验题目:数/模转换器DAC0832系部:电子与信息工程系学生姓名:专业班级:学号:指导教师:2022.12.30一.实验目的1.掌握D/A转换原理;2.熟悉D/A芯片接口设计方法;3.掌握DAC0832芯片的使用方法。

二.实验设备1.PC微机一台;2.TD-PIT实验装置一台;3.示波器一台。

三.实验要求用DAC0832设计一个D/A转换接口电路,采用单缓冲工作方式,产生方波、三角波、锯齿波和正弦波。

四.实验原理1.DAC3802的结构及性能(1)输入/输出信号。

D7-D0为8位数据输入线;IOUT1为DAC电流输出1,IOUT2为DAC电流输出2,IOUT1和IOUT2之和为一常量;RFB为反馈信号输入端,反馈电阻在芯片内。

(2)控制信号。

ILE为允许输入锁存信号;WR1和WR2分别为锁存输入数据信号和锁存输入寄存器到DAC寄存器的写信号;某FER为传送控制信号;CS为片选信号。

(3)电源。

VCC为主电源,电压范围为+5V到+15V;VREF为参考输入电压,范围为-10V到+10V。

DAC0832管脚及其内部结构框图2.工作方式外部五个控制信号:ILE,CS,WR1,WR2,某FER连接方式的不同,可工作于多种方式:直通方式,单缓冲方式,双缓冲方式(1)直通方式ILE接高、CS、WR1、WR2、某FER接地,两级寄存器均直通;(2)单缓冲方式两级寄存器一个受控,一个直通;(3)双缓冲方式两级寄存器均受控。

0832为电流输出型D/A,要得模拟电压,必需外加转换电路(运放)。

五.实验内容1.硬件电路图:2.软件程序设计(1)产生方波tackegmenttack'tack'dw32dup()tackendcodeegmentbeginprocfaraume:tack,c:codepuhduba某,a某puha某MOVD某,0D800H;片选信号输入地址MOVAL,0NE某T:OUTD某,ALMOVD 某,0D800HOUTD某,ALLOOP$;延时NOTAL;求反,由高电平转为低电平或有低电平转为高电平PUSHA某;保护数据MOVAH,11INT21HCMPAL,0;有按键退出POPA某JZNE某Tretbeginendpcodeendendbegin(2)产生三角波tackegmenttack'tack'dw32dup()tackenddataegmentdataendcodeegmentbeginprocfaraume:tack,c:code,d:datapuhduba某,a某puha某MOVD某,0D800HMOVAL,0NE某T:OUTD某,ALCALLDELAY;调用延时篇二:数模转换器和模数转换器实验报告实验报告课程名称实验项目数/模转换器和模/数转换器实验实验仪器系别计算机系专业网络工程班级/学号学生姓名_实验日期成绩_______________________指导教师实验五数/模转换器和模/数转换器实验一、实验目的1.了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。

ADC--ADC0832及其应用

ADC--ADC0832及其应用

DAC0832一) D/A转换器DAC0832DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。

如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。

运算放大器输出的模拟量V0为:图4-82由上式可见,输出的模拟量与输入的数字量()成正比,这就实现了从数字量到模拟量的转换。

一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端。

输入可有28=256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。

图4-83是DAC0832的逻辑框图和引脚排列。

图4-83D0~D7:数字信号输入端。

ILE:输入寄存器允许,高电平有效。

CS:片选信号,低电平有效。

WR1:写信号1,低电平有效。

XFER:传送控制信号,低电平有效。

WR2:写信号2,低电平有效。

IOUT1、IOUT2:DAC电流输出端。

Rfb:是集成在片内的外接运放的反馈电阻。

Vref:基准电压(-10~10V)。

Vcc:是源电压(+5~+15V)。

AGND:模拟地 NGND:数字地,可与AGND接在一起使用。

DAC0832输出的是电流,一般要求输出是电压,所以还必须经过一个外接的运算放大器转换成电压。

实验线路如图4-84所示。

图4-85IN0~IN7:8路模拟信号输入端。

A1、A2、A0 :地址输入端。

ALE地址锁存允许输入信号,在此脚施加正脉冲,上升沿有效,此时锁存地址码,从而选通相应的模拟信号通道,以便进行A/D转换。

START:启动信号输入端,应在此脚施加正脉冲,当上升沿到达时,内部逐次逼近寄存器复位,在下降沿到达后,开始A/D转换过程。

EOC:转换结束输出信号(转换接受标志),高电平有效。

OE:输入允许信号,高电平有效。

CLOCK(CP):时钟信号输入端,外接时钟频率一般为640kHz。

Vcc:+5V单电源供电。

DAC0832波形发生器课程设计实验报告1

DAC0832波形发生器课程设计实验报告1

微机原理与接口技术课程设计报告书题目:DAC0832 波形发生器学院名称:湖南科技大学潇湘学院班级:电子信息工程001班指导老师:欧青立陈君宋芳学号: 0954030110姓名:赵翔目录一、引言 (1)二、设计目的 (2)三、原理说明 (2)四、硬件设计 (4)五、设计原理 (6)六、程序编译 (7)1、输出方波子程序 (7)2、输出三角波子程序 (8)3、输出锯齿波子程序 (8)4、输出正弦波子程序 (9)5、输出梯形波的子程序 (10)6、主程序 (11)七、调试方法与结果 (15)八、心得体会 (16)一.引言波形发生器是一种常用的信号源,广泛的应用于电子电路、自动控制系统和教学实验等领域,是现代测试领域内应用最为广泛的通用仪器之一。

在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源。

由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察。

测量被测仪器的输出响应,以分析确定它们的性能参数。

信号发生器是电子测量领域中最基本、应用最为广泛的一类电子仪器。

它可以产生多种波形信号,如锯齿波、三角波、梯形波等,因而广泛应用于通信、雷达、导航、宇航等领域。

本次课程设计使用的AT89C51单片机构成的发生器可产生三角波,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。

此设计给出了源代码,通过仿真测试,其性能指标达到了设计要求。

二、设计目的1、掌握DAC0832与PC机的接口方法。

2、掌握D/A转换应用程序设计方法。

三、原理说明◆知识简介:DAC0832当今世界在以电子信是8位分辨率的D/A转换集成芯片,与微处理器完全兼容,这个系列的芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到了广泛的应用。

这类D/A转换器由8位输入锁存器,8位DAC寄存器,8位DA转换电路及转换控制电路构成。

◆原理框图:◆硬件设计1、DAC0832的引脚及功能:DAC0832是8分辨率的D/A转换集成芯片。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

附件1:学号:0121109320507课程设计DAC0832接口电路题目及程序设计学院信息工程学院专业通信工程班级信息sy1101姓名芦启超指导教师陈适2014年 6月18日课程设计任务书学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院题目: DAC0832接口电路及程序设计初始条件:(1) Quartus II、ISE 等软件;(2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》(3)先修课程:数字电子技术、模拟电子技术、通信原理主要任务:(1)掌握DAC0832接口电路及程序设计的基本原理;(2)掌握仿真软件Quartus II的使用方法;(3)完成对DAC0832接口电路设计,并对仿真结果进行分析。

时间安排:(1)2014 年6月11日--2014 年6月18日理论设计、仿真设计地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。

(2)2014 年6 月18 日进行理论答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (I)Abstract (II)1 基本原理 (1)1.1 系统背景 (1)1.2 FPGA最小系统简介 (1)1.3 DAC0832简介 (2)1.4 DAC0832 接口电路设计 (2)1.5 DAC0832 接口电路程序设计 (3)1.5.1 DAC0832 时序 (3)1.5.2 DAC0832接口电路输出控制程序 (4)2 仿真结果与分析 (7)2.1 关于Quartus II 软件 (7)2.1.1 Quartus II 的优点 (7)2.1.2 Quartus II 对器件的支持 (7)2.1.3 Quartus II 对第三方EDA 工具的支持 (8)2.2 输出仿真结果 (8)3 结论 (10)总结与体会 (11)参考文献 (12)摘要本次课程设计是使用Quartus ii 进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。

DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。

该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器,可以实现D/A转换。

本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartus ii 的基本使用,加强对FPGA的理解。

关键词:DAC0832;VHDL;Quartus iiAbstractThe curriculum design use Quartus ii to simulate, which is based on the VHDL hardware description language and complete the design of DAC0832 interface circuit and program. DAC0832 is a 8 bit D/A converter with CMOS/Si-Cr technology. The chip contains 8 bit input register, a 8 bit DAC register, a 8 bit D/A converter, can realize D/A conversion.Study design this course is the VHDL language, try to design and Simulation of the DAC0832 interface circuit, learn how to use Quartus ii basicly, strengthen the understanding of FPGA.Key Words:DAC0832;VHDL;Quartus ii1 基本原理1.1 系统背景现场可编程逻辑门阵列FPGA ,与PAL 、GAL 器件相比,他的优点是可以实时地对外加或内置得RAM 或PROM 编程,实施地改变迄今功能,实现现场可编程(基于EPROM 型)或在线重配置(基于RAM 型)。

是科学试验、演技研制、小批量产品生产的最佳选择其间。

自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。

由单片机构成的应用系统有有体积小、功耗低控制功能强的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。

因而许多现代仪器仪表都用到了FPGADAC0832是8分辨率的D/A 转换集成芯片。

与微处理器完全兼容。

这个D/A 芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。

1.2 FPGA 最小系统简介图1.1 FPGA 最小系统计算机接口JTAG 接口FPGA / CPLD 芯片晶振复位电源输入接口输出接口下载电缆AS 接口FPGA 最小系统是可以使FPGA 正常工作的最简单的系统。

它的外 围电路尽量最少,只包括FPGA 必要的控制电路。

一般所说的FPGA 的最小系统主要包括:FPGA 芯片、下载电路、外部时钟、复位电路和电源。

如果需要使用NIOS II 软嵌入式处理器还要包括:SDRAM 和Flash 。

一般以上这些组件是FPGA 最小系统的组成部分 。

如图1.1所示。

1.3 DAC0832简介图1.2 DAC0832内部结构图DAC0832是采样频率为八位的D/A 转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A 异步输入、同步转换等)。

所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A 转换结果采用电流形式输出。

若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。

运放的反馈电阻可通过RFB 端引用片内固有电阻,也可外接。

DAC0832逻辑输入满足TTL 电平,可直接与TTL 电路或微机电路连接。

其内部结构如图1.2所示。

1.4 DAC0832 接口电路设计DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC 寄存器,可以工作在双缓冲方式下。

其接口电路如图1.3所示:8 位 输 入 寄 存 器 8 位 D/A 寄 存 器8 位 D/A 转 换 器& &&D7~ D0 ILE CS WR1 WR2 XFER VREF IOUT2 IOUT1Rfb AGNDVCC DGNDLE1LE1图1.3 FPGA与DAC0832接口电路原理图FPGA_IO1~8向DAC0832的数据输入口(DI0~DI7)输送数据。

FPGA_IO9提供DAC0832数据锁存允许控制信号ILE,高电平有效。

FPGA_IO10提供DAC0832控制信号(CS:片选信号;Xfer:数据传输控制信号;WR1、WR2:DAC寄存器写选通信号),低电平有效。

Iout1、Iout2、Rfb与运算放大器LM324完成电流/电压的转换(DAC0832属电流输出型)。

1.5 DAC0832 接口电路程序设计1.5.1 DAC0832 时序根据DAC0832 输出控制时序,利用接口电路图,通过改变输出数据设计一个锯齿波发生器。

DAC0832是8位的D/A转换器,转换周期为1μs。

锯齿波形数据可以由256个点构成,每个点的数据长度为8位。

又因为FPGA的系统时钟为50MHz,必须对其进行分频处理,这里进行64分频,得到的锯齿波的频率为762.9Hz。

图1.4为DAC0832 输出控制时序图。

图1.4 DAC0832 输出控制时序图1.5.2 DAC0832接口电路输出控制程序DAC0832 接口电路程序如下:--文件名:DAC0832.VHD--功能:产生频率为762.9Hz的锯齿波。

--最后修改日期:2014.6.15library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity DAC0832 isport(clk:in std_logic; --系统时钟rst:in std_logic; --复位信号 ile:out std_logic; --数据锁存允许信号 cont:out std_logic; --控制信号(WR1、WR2、CS、Xfer) data_out:out std_logic_vector(7 downto 0)); --波形数据输出end DAC0832;architecture behav of DAC0832 issignal q:integer range 0 to 63; --计数器signal data:std_logic_vector(7 downto 0); --波形数据Beginprocess(clk)beginif rst='1' then q<=0; --复位,对计数器q清零elsif clk'event and clk='1' thenif q=63 then q<=0; --此IF语句对系统时钟进行64分频 if data="11111111" then data<="00000000";--此IF语句产生锯齿波波形数据else data<=data+1;end if;else q<=q+1;end if;end if;end process;ile<='1';cont<='0';data_out<=data; --ile、cont赋值;波形数据输出;end behav;2 仿真结果与分析2.1 关于Quartus II 软件Max+plus II 作为 Altera 的上一代 PLD 设计软件,由于其出色的易用性而得到了广泛的应用。

目前 Altera 已经停止了对 Max+plus II 的更新支持。

Quartus II 是 Altera公司继 Max+plus II 之后开发的一种针对其公司生产的系列 CPLD/PGFA 器件的综合性开发软件,它的版本不断升级,从 4.0 版到 10.0 版,这里介绍的是 Quartus II 8.0 版,该软件有如下几个显著的特点:2.1.1 Quartus II 的优点该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的 EDA 工具软件。

相关文档
最新文档