synopsys DC10.03图文安装配置详解

合集下载

博思廷智能分析标准化版本3.0安装使用手册

博思廷智能分析标准化版本3.0安装使用手册

博思廷标准化版本安装和使用手册目录1.安装1.1 boostiv-server(ivas-分析、vsms-分发、vrms-存储、ams-告警)1.1.1选择boostiv-server.msi双击打开安装界面。

1.1.2进入安装界面,然后点击下一步。

1.1.3选择默认安装路径,或点击更改,选择其它安装路径;然后点击下一步。

1.1.4点击安装,开始安装ivas、vsms、vrms、ams服务。

1.1.5安装成功,点击完成。

1.1.6安装完成后,桌面显示BSTAIRSConfig(其它4个服务的配置界面)、ivas、vsms、vrms、ams图标。

1.2 ivms平台1.2.1选择ivms.msi双击打开安装界面。

1.2.2进入安装界面,然后点击下一步。

1.2.3选择默认安装路径,或点击更改,选择其它安装路径;然后点击下一步。

1.2.4点击安装,开始安装ivms平台。

1.2.5安装成功,点击完成。

1.2.6安装完成后,桌面显示BSTivms-平台、cmc-平台配置、BSTMplayer-播放器,图标。

1.3其它辅助软件vc++2005、vc++2008、mysql-5.1.67、加密狗驱动1.3.1点击插件exe文件,全部保持默认完成安装。

1.3.2 MySql安装,选择mysql-5.1.67-win32.msi双击打开安装界面。

保持默认选项,直到出现如下界面,勾选Include Bin Directory in Windows PATH,点击NEXT:密码必须为admin不可更改,勾选Enable root access from remote machines,点击NEXT,继续保持默认选项,完成安装:2.服务配置2.1 boostiv-server服务配置(BSTAIRSConfig、ivas、vsms、vrms、ams)2.1.1双击BSTAIRSConfig图标,打开配置界面。

2.1.2 ivas配置。

synopsysDC10.03图文安装配置详解

synopsysDC10.03图文安装配置详解

synopsysDC10.03图⽂安装配置详解喾林原创Synopsys DC10.03安装配置1、需准备安装包:1)、Synopsys DC(design compiler)安装包2)、SCL 安装包(注:此包为synopsys license 管理)。

3)、Synopsys 图像安装⼯具:installer2.0及以上均可。

(注:图形安装操作简单,不易出错,故采⽤图形安装界⾯)4)、Synopsys license 制作⼯具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。

2、开始安装DC : 1)、启动图形安装界⾯于linux 系统下解压installer2.0包(在windows 下解压⽂件易损坏)。

解压后运⾏setup.sh 得如图(⼀)所⽰界⾯。

图(⼀)喾林原创点击“start ”有如图(⼆)所⽰界⾯开始安装。

在“Source ”栏选中DC 安装⽂件所在上层⽬录。

“Done ”后“Next ”(此次“Next ”时间较长,耐⼼等待)。

图(⼆)之后可⼀直“NEXT ”到如图(三)所⽰。

图(三)在该界⾯勾选linux选项即可,继续下⼀步到如图(四)所⽰。

选择安装路径后继续下⼀步直到结束。

喾林原创图(四)⾄此DC安装结束。

3、开始安装SCL:此安装与DC安装步骤⼀直,⼏乎没有差别,唯⼀不同的就是安装路径不同。

4、license的制作:License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b⽂件夹运⾏LicGen.exe程序出现如图(五)所⽰界⾯。

喾林原创图(五)点击“OPEN”选择Synopsys.lpd⽂件,“打开”。

回到图(五)所⽰界⾯。

勾选上Custon、Use Daemon及最后⼀个Custon。

喾林原创在两个“Custon ”后输⼊Linux 系统的MAC 。

注:Linux MAC 获取命令。

A :lmhostid 下图(六)红线处即为 MAC 。

3D3SV10 快速安装说明

3D3SV10 快速安装说明

快速安装说明3D3S Insatll Instructions同济大学3D3S研发组上海同磊土木工程技术有限公司- 1 -重要信息:请在安装前阅读本说明,为了确保安装成功,请严格按照下列步骤进行3D3S 单机锁、网络锁的外形如下图所示:Sense IV单机锁SenseIV网络锁软件锁支持的操作系统环境:windows 2003 server windows Xp windows Vista;目前3D3S支持AutoCAD2004 2005 2006请确保您的机器的USB接口可以正常工作。

请确保您在安装软件及硬件锁驱动时具有计算机的管理员权限。

请确保您的客户端电脑可以通过网络正常访问到服务器。

- 2 -目 录单机版 安装步骤 (4)步骤一请正确安装AutoCad2004 (4)步骤二请安装3D3S软件 (4)步骤三启动3D3S软件,查看单位和软件模块信息。

(8)网络版 安装步骤 (10)一、服务器端安装和设置 (10)步骤一 网络锁服务程序的安装和卸载 (10)步骤二 网络锁服务管理工具的使用 (13)二、客户端安装步骤 (17)步骤一登陆服务器 (18)步骤二查看单位和软件功能模块信息 (22)- 3 -单机版 安装步骤步骤一请正确安装AutoCad2004请正确安装AutoCad2004,安装完成后请启动CAD2004,确保CAD能够正常使用。

然后请退出CAD2004。

步骤二请安装3D3S软件1找到3D3S软件安装程序所在的文件夹,双击3D3S 安装盘.exe2如果是初次安装则按默认设置安装即可- 4 -我们建议按照默认目录进行安装。

- 5 -程序文件安装完毕后自动安装软件锁驱动程序- 6 -当系统提示没有通过windows认证时,选择仍然继续,安装完成3如果是以前有低版本软件,则程序会提示先将低版本卸载,如下图:- 7 -此时请点击确定将低版本卸载,卸载完成后再次双击3D3S安装盘.exe进行安装即可步骤三启动3D3S软件,查看单位和软件模块信息。

Solaris 10 10 08 安装指南.pdf_1693858425.8650963说明书

Solaris 10 10 08 安装指南.pdf_1693858425.8650963说明书

Solaris1010/08安装指南:规划安装和升级Sun Microsystems,Inc.4150Network CircleSanta Clara,CA95054U.S.A.文件号码820–6045–102008年10月版权所有2008Sun Microsystems,Inc.4150Network Circle,Santa Clara,CA95054U.S.A.保留所有权利。

对于本文档中介绍的产品,Sun Microsystems,Inc.对其所涉及的技术拥有相关的知识产权。

需特别指出的是(但不局限于此),这些知识产权可能包含一项或多项美国专利,或在美国和其他国家/地区申请的待批专利。

美国政府权利-商业软件。

政府用户应遵循Sun Microsystems,Inc.的标准许可协议,以及FAR(Federal Acquisition Regulations,即“联邦政府采购法规”)的适用条款及其补充条款。

本发行版可能包含由第三方开发的内容。

本产品的某些部分可能是从Berkeley BSD系统衍生出来的,并获得了加利福尼亚大学的许可。

UNIX是X/Open Company,Ltd.在美国和其他国家/地区独家许可的注册商标。

Sun、Sun Microsystems、Sun徽标、Solaris徽标、Java咖啡杯徽标、、Java和Solaris是Sun Microsystems,Inc.或其子公司在美国和其他国家/地区的商标或注册商标。

所有SPARC商标的使用均已获得许可,它们是SPARC International,Inc.在美国和其他国家/地区的商标或注册商标。

标有SPARC商标的产品均基于由Sun Microsystems,Inc.开发的体系结构。

OPEN LOOK和Sun TM图形用户界面是Sun Microsystems,Inc.为其用户和许可证持有者开发的。

Sun感谢Xerox在研究和开发可视或图形用户界面的概念方面为计算机行业所做的开拓性贡献。

Synopsys 综合工具Design Compiler(DC)

Synopsys 综合工具Design Compiler(DC)

Synopsys 综合工具Design Compiler(DC)简介一.约束的基本概念:约束就是对用户的设计中可度量的电路参数(如时序、面积以及电容等)进行声明。

没有约束,工具(本文为DC)就不能有效地优化电路,以满足要求。

当DC对设计进行优化时,使用了两类约束:1)设计规则约束(Design Rule Constraints,DRC):此类约束是工具固有的,由工艺库(technology library)来定义。

此类约束是设计功能正确的必要条件,通过库应用于所有设计上。

当然,你可以将它们定义的更紧。

2)优化约束(Optimization Constraints):它们是由用户定义的,前提是可实现的。

用户在使用DC时,可以通过命令行或编写约束文件(.scr)来定义约束。

下图给出DC 主要的DRC及优化约束,以及相关的DC命令(dc_shell接口命令)。

DRC:最大转换时间(Max Transition Time):对于一条连线(net)来说,是其驱动pin逻辑值转化的最长时间。

最大扇出(Max Fanout):对于驱动pin来讲。

最大/最小电容(Max/Min Capacitance):用来控制连线的电容值。

器件退化(Cell Degradation):某些工艺库包括器件退化表,它列举了某一器件可驱动的最大电容,是该器件输入pin最大转换时间的函数。

优化约束:时序约束:包括输入/输出延迟(Input/Output Delay):同步路径最大/最小延迟(Minimum/Maximum Delay):异步路径最大面积(门数):最小孔隙度(Min porosity):可布线性二.约束报告约束报告提供了设计规则和优化约束的信息。

可采用如下命令产生相应报告:report_constraintreport_portreport_clockreport_attributereport_timing_requirements用户可通过输出相应报告,来分析设计是否满足了约束。

Synopsys系列软件安装说明

Synopsys系列软件安装说明

Synopsys系列软件安装说明magellan。

Synopsys软件一共有三个:VCS、formality、安装这是一套验证软件,现在我们说一下它们的安装流程:VWmare1.安装执行可执行文件。

安装无注意事项。

按照步骤安装直到完成。

REDHAT4.22.安装REDHAT 。

加载运行虚拟机,在file选项下选择new下的virtual mashine在左下角虚拟光驱中加载接下来按照提示加载在提示加载其他的光盘时,disc1.这。

加载之后记得connect(安装前提是硬盘空间最小要15G)剩下的光盘镜像,样直到安装完成。

3.安装VMware Tools开始启动系统,然后用安装完系统后,点击start this virtual machine账号登陆,密码就是在安装系统时自己设置的密码。

在上面的工具栏菜单root界面外,不LINUX选择VM\install VMware Tools(目的是鼠标可以直接移动到共享文件windowsLINUX界面的大小,同时也可以实现和再需要Ctrl+Alt;设置拷贝到任何目VMwareTools-6.0.0-45731.tar.gz夹),生成VmWare Tools后将zxvf录下,然后在终端中的该目录下用tar –命令进行解压,然后进入解压后得到的VMwareTools-7.8.4-126130.tar.gz一切选择./ vmware-install.pl进行安装(vmware-tools-distrib的目录,执行默认就行)。

安装目录下的一个另一种方法:如果第一种方法不行,出现错误,就加载VM里面有个文件linux.iso镜像,在系统中打开cd-romhomeTools压缩包。

把它拷贝到VMwareTools-8.1.3-203739.tar.gz就是VMwarexvzfVMwareTools-8.1.3-203739.tar.gz 解压缩文件,文件夹下,然后用tar – ./vmware-install.pl 进行安装。

synopsys_DC for Ubuntu10.04安装步骤

synopsys_DC for Ubuntu10.04安装步骤

Synopsys Design Compiler 2008.09安装步骤安装环境说明:此文讲述的是基于虚拟机VMware 中的Ubuntu10.04系统安装DC 的详细过程。

在其它Linux 系统安装也可以循此步骤安装。

安装资源准备:synopsys installer 2.0版本;scl(scl_v10.9.3_common 和scl_v10.9.3_linux);DC _200809(Design Compiler_200809_common 和Design Compiler_200809_linux);license 。

破解工具:EFA LicGen 0.4b 和 Synopsys SSS Feature Keygen 。

安装的目录结构:在安装的过程中会选择要安装的路径,这些指安装后的文件夹结构。

安装过程:(安装是在root 权限下进行的,推荐)1. 安装前确保Linux 系统已经安装了csh .若没有安装,通过命令apt-get installer csh 安装,如下所示:2. 安装installer :将synopsys installer2.0 解压到installer 文件夹即可.3. 安装SCL : 通过命令./installer –gui ,采用图形化用户界面的方式安装。

首先用cd 命令转到installer 目录下,然后执行 ./installer –gui 命令。

如图所示:(参照图中下方两行命令)选中目标后,点击Done。

接下来一直点击Next就行,出现下面的情况,点击NO,继续安装。

接着选择要安装的路径(这时选择的路径是最开始准备好的那个目录结构,不能选错了)。

这样scl_v10.9.3_common就安装成功。

用同样的方法安装scl_v10.9.3_linux。

(这个目录与scl_v10.9.3_common安装的时候是选择同一个路径的)。

最后出现安装成功的画面的话,scl就完成安装了。

DC综合操作流程_设置流程.

DC综合操作流程_设置流程.

总流程1:库的设置2:设计的读入3:设置环境属性(1)set_operating_conditions(2)set_wire_load_model和set_wire_load_mode(3)set load(4)set_drive或者set_driving_cell4:设计规则约束(1)set_max_transtion(2)set_max_capacitance(3)set_max_fanout5:优化约束(1)create_clock(2)set_clock_uncertainty(3)set_clock_latency(4)set_input_delay(5)set_output_delay(6)set_false_path(7)set_multicycle_path(8)set_max_delay和set_min_delay(9)set_max_area7:一些编译命令及DC的输出格式注意:1:在前端设计中一般不做hold_time的约束,hold_time的约束可以在后端修复!!!总流程:1:对库进行基本设置,如下:设置完成后应该查看.synopsys_dc.setup里面库的设置和软件application setup处的设置是否一样!DC的初始化文件.synopsys.dc.setup 需要用ls –a显示,命令:more .synopsys.dc.setup查看文件内容!2:读入设计,两种方法:read和analyze+elaborateAnalyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下;Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体缺省情况下,elaborate读取的是work目录中的文件中的第一个库的工作环境作为优化时使用的工作环境。

(1)set_operating_conditions:工作条件包括三方面—温度、电压以及工艺;工作条件一般分三种情况:best case, typical case, worst case图形界面:#1:先进入the symbol view of the top界面,选择top模块#2:attributes—operating environment—operating conditions命令方式:#1:可通过report_lib libraryname命令来查看,如下图查看的是slow.db 库的工作条件,则使用命令:report_lib slow,右边是report_lib fast。

蝙蝠在线考试系统ORACLE安装与配置专用文档

蝙蝠在线考试系统ORACLE安装与配置专用文档

蝙蝠在线考试系统ORACLE安装与配置专用文档目录1. ORACLE的获取与安装......................................................................................................... - 2 -1.1 软件获取............................................................................................................... - 2 -1.2 软件安装............................................................................................................... - 3 -2. PL/SQL Developer的安装.................................................................................................... - 20 -2.1 PL/SQL Developer介绍...................................................................................... - 20 -2.2 下载PLSQL Developer ...................................................................................... - 21 -2.3 PLSQL Developer的安装................................................................................... - 21 -2.4 建立考试系统专用用户..................................................................................... - 21 -3. 考试系统的配置................................................................................................................... - 24 -阅读本篇前请确保您已经阅读了《蝙蝠在线考试系统安装与配置指导》。

synopsys dc中文教程(比较详细)

synopsys dc中文教程(比较详细)

综合的定义

逻辑综合:决定设计电路逻辑门的相互连接。
逻辑综合的目的:决定电路门级结构、寻求时序和与面积的平衡、 寻求功耗与时序的平衡、增强电路的测试性。 逻辑综合的过程:首先,综合工具分析HDL代码,用一种模型 (GTECH) ,对HDL进行映射,这个模型是与技术库无关的;然后, 在设计者的控制下,对这个模型进行逻辑优化;最后一步,进行 逻辑映射和门级优化,将逻辑根据约束,映射为专门的技术目标 单元库(target cell library)中的cell,形成了综合后的网表。
Time ok? Floorplan placement, CT Insertion&Global routing
Transfer clock tree to DC
Formal verification
Detail routing Post-layout Optimization (in-place optimization(IPO)) Static Timing Analysis Time ok? Tape out no
ASIC design flow

SDF generation,pre_layout的SDF文件,用于pre_layout timing仿真,同时还需 提供时序约束文件(SDF格式)给layout tool做布局布线。script文件如下:
active_design=tap_controller read active_design current_design active_design link Create_clock –period 33 –waveform {0 16.5} tck Set_dont_touch_network {tck trst} set_clock_skew –delay 2.0 –minus_uncertainty 3.0 tck set_driving_cell –cell BUFF1X –pin Z all_inputs() set_drive 0 {tck trst} set_input_delay 20.0 –clock tck –max all_inputs() set_output_delay 10.0 –clock tck –max all_outputs() write_timing –format sdf-v2.1 \ -output active_design+‖.sdf‖ write_constraints –format sdf –cover_design\ -output constraints.sdf

DC安装过程

DC安装过程

DC安装全过程1、安装installer,将installer2.0解压到installer文件夹即可;2、安装安装SCL(可以省略)3、安装DC. 在linux的工作目录下面新建DC要安装的文件夹,取好名字,DC 安装生成全部文件都要放在这个目录下。

4、打开终端,进入到installer文件中,运行./installer –gui或./setup.sh然后会提示选择安装源,路径分别选择dc的linux和commen安装源文件,分别安装,点击下一步全部指定到第二步建立的DC安装目录中去。

5、License 生成方法A. 进入EFA LicGen 0.4b文件夹,双击运行LicGen.exe,会弹出一个对话框,点击“open”按钮,找到pack目录下的Synopsys.lpd打开。

“select Host ID”选择Custom,输入机器网卡物理地址(地址字符没有间隔连续输入)。

最后点击“Generate”生成license文件,将生成的license文件保存为synopsys.dat,放在“Synopsys SSS Feature Keygen”文件夹中,并将整个文件夹放到c盘目录。

B.打开windows的命令提示符CMD。

(开始---->运行---->输入cmd)进入“Synopsys SSS Feature Keygen”,运行命令“sssverify.exesynopsys.dat”,此时便生成一串20个字符的SECRET DA TA (如SECRET DA TA = 034f 0000 4db0 0000 2fc0),将这20个字符复制到剪切板,进入下一步C.运行KeyGen.exe,将刚才的20个字符复制到“SECRET DA TA框中”,输入机器网卡物理地址到HOSTID框中,点击Generate,此时将会有一个文件叫license.dat的文件生成.D.打开license.dat,将FEA TURE SSS之后的所有行复制到第一部生成的synopsys.dat文件中,并把synopsys.dat中原有的FEA TURE SSS全部删除。

synopsys工具安装教程【范本模板】

synopsys工具安装教程【范本模板】

前言:这是本人经过两个星期的奋斗,从对linux命令的生涩到熟练,对后端工具的陌生到熟悉的一段战斗史!!!下面我把我的操作过程详细写下,供大家学习参考!!一:准备工作所需平台1、vmware92、RHEL(红帽企业版)6。

3Synopsys 工具1、installer_v3。

0(安装synopsys EDA tools 的图形界面的安装工具)2、scl_10.9。

3(synopsys license 管理工具,用于启动tools 的features)3、dc_2009。

06(逻辑综合工具)4、icc_2009。

06(布局布线工具)5、pt_2012。

12(时序分析工具)License生成工具1、EFA LicGen 0.4b2、Synopsys SSS Feature Keygen3、Synposys。

src(最新的据我所知是40kb大小,有比较全的features)二:搭建RHEL1、安装vmware:本章节详见另一个文档.文档名为“vmware安装手册”.还有一份汉化包,需要可自行下载。

2、安装RHEL:本章详见另一个文档.文档名为“RHEL6。

3安装手册”。

Ps:有一个页面会让你选择安装的软件包,这个时候人工勾上所有的软件包,不然启eda 工具的时候会发现很多系统库找不到。

三:vmware tools安装1、以root用户进入linux,找到左上角的VM选项,下拉之后选择安装wmwaretools.过一会儿会弹出来一个框框,显示vmwaretools—9。

2。

2-893683.tar.gz然后新开一个终端,输入cd /media 你会看到vmwaretools—9。

2。

2—893683.tar。

gz就在那里。

然后把他拷贝出来,后解压安装。

具体命令可以网上搜索。

四:license制作License制作要用到上述的材料,具体详见另一个文档。

文档名为“license制作”.将license文件,即synopsys。

dc教程(word版)

dc教程(word版)

dc教程(word版)第⼀章基本概念1.1 启动⽂件启动⽂件⽤来指定综合⼯具所需要的⼀些初始化信息。

DC使⽤名为“.synopsys_dc.setup”的启动⽂件,启动时,DC会以下述顺序搜索并装载相应⽬录下的启动⽂件:1)、DC的安装⽬录;2)、⽤户的home⽬录;3)、当前启动⽬录。

注意:后装载的启动⽂件中的设置将覆盖先装载的启动⽂件中的相同设置。

下⾯是⼀个DC启动⽂件的实例,它包含了⼏乎所有重要的设置,下⽂将结合该实例解释启动⽂件中各项设置的具体含义。

例1-1(⼀个DC启动⽂件):search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” }search_path= search_path + { “~/risc32/synthesis/libraries” }target_library={ tcb773stc.db }synthetic_library={dw_foundation.sldb}link_library = { “*”, dw_founda tion.sldb, tcb773stc.db }symbol_library = { tcb773s.sdb }synlib_wait_for_design_license = {"DesignWare-Foundation"}alias rt “report_timing”designer= XXXXXcompany= “ASIC Lab,Fudan Univ.”●search_path指定了综合⼯具的搜索路径。

●target_library为综合的⽬标库,它⼀般是由⽣产线提供的⼯艺相关的库。

synthetic_library是综合库,它包含了⼀些可综合的与⼯艺⽆关的IP。

dw_foundation.sldb 是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调⽤这些IP有助于提⾼电路性能和减少综合时间。

WebLogic10.3安装部署

WebLogic10.3安装部署

WebLogic10.3安装部署WebLogic10.3安装配置(图文) ◆webLogic安装:1,安装weblogic10:(此处略)按默认安装。

◆配置weblogic10 domain:1,创建一个weblogic domain:如图所示:点击next进入下一步:此处默认选项,直接点击next进入下一步:输入域名和域名所处位置(配置domain name,不能在同一个目录下配置多个domain)点击下一步:配置进入控制台的用户名和密码:密码最少为八位:注意此处默认选择weblogic自带的jdk,不能选择已安装的高版本的其他jdk:运行管理服务的WebLogic服务器称为管理服务器, 每个WebLogic受管服务器都会在启动时从管理服务器得到各自的属性配置.点击next进入下一步:输入用户名、监听地址以及监听端口。

安装成功以后,可以看到如下所示:点击启动weblogic10服务器:如下所示,打开浏览器,输入:http://192.168.16.21:7001/console 如下所示,则服务器成功启动:在myeclipse下配置weblogic101,启动Eclipse,选择“Window -> Preferences”菜单,打开首选项对话框。

展开MyEclipse 下的Application Servers 节点,点击WebLogic 10,选中右边的Enable 单选按钮,启用WebLogic 服务器。

配置如下:2,接着展开WebLogic 10 节点,点击JDK,在右边的WLS JDK name 处选择WebLogic 10 的默认JDK单击Add 按钮,弹出WebLogic -> Add JVM 对话框,在JRE 主目录处选择WebLogic 安装文件夹中的JDK 文件夹,这时候就可以在WLS JDK name 组合框中选择jdk150_04 了。

配置完成后,在myeclipse的工具栏可以看到如下所示:配置weblogic10的JDBC Data Source1,进入webloglic10控制台:http://192.168.16.21:7001/console/在左边的Domain Structure选择数据源进入数据源配置页面点击“新建”按钮进入“新建JDBC Data Source”页面配置数据源的名字,JNDI的名字,选择数据库,在Database Driver选择时注意选择XA和non-XA驱动:选择XA(分布式事务支持)驱动,进入下一步:Next进入下一步,配置jdbc数据源选项点击Test Configuration测试Jdbc数据源:如下所示,则测试成功点击finish完成。

DC综合操作流程_设置流程

DC综合操作流程_设置流程

DC综合操作流程_设置流程总流程1:库的设置2:设计的读入3:设置环境属性(1)set_operating_conditions(2)set_wire_load_model和set_wire_load_mode(3)set load(4)set_drive或者set_driving_cell4:设计规则约束(1)set_max_transtion(2)set_max_capacitance(3)set_max_fanout5:优化约束(1)create_clock(2)set_clock_uncertainty(3)set_clock_latency(4)set_input_delay(5)set_output_delay(6)set_false_path(7)set_multicycle_path(8)set_max_delay和set_min_delay(9)set_max_area7:一些编译命令及DC的输出格式注意:1:在前端设计中一般不做hold_time的约束,hold_time的约束可以在后端修复总流程:1:对库进行基本设置,如下:设置完成后应该查看.synopsys_dc.setup里面库的设置和软件application setup处的设置是否一样!DC的初始化文件.synopsys.dc.setup 需要用ls –a显示,命令:more .synopsys.dc.setup查看文件内容!2:读入设计,两种方法:read和analyze+elaborateAnalyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下;Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体缺省情况下,elaborate读取的是work目录中的文件中的第一个库的工作环境作为优化时使用的工作环境。

(1)set_operating_conditions:工作条件包括三方面—温度、电压以及工艺;工作条件一般分三种情况:best case, typical case, worst case图形界面:#1:先进入the symbol view of the top界面,选择top模块#2:attributes—operating environment—operating conditions命令方式:#1:可通过report_lib libraryname命令来查看,如下图查看的是slow.db 库的工作条件,则使用命令:report_lib slow,右边是report_lib fast。

orcad 10.3的系统配置要求及详细安装步骤

orcad 10.3的系统配置要求及详细安装步骤

第1章安装 OrCAD 10.3 Release 1.1 OrCAD 10.3 Release 安装建议的计算机配置1.1.1 OrCAD 10.3 Release 窗口操作系统OrCAD 10.3 Release 可以执行在下列2 种Microsoft 窗口操作系统:(1)Windows 2000(SP4)(2)Windows XP Professional, or Windows XP Home Edition注:OrCAD10.3 Release 已不再支持Windows NT 窗口操作系统。

1.1.2 Cadence 公司针对一般的仿真设计软件,建议采用的计算机最小配备:(1)具有256 MB 内存的Pentium II 400MHz 微处理器;(2)安装软件所需硬盘空间约为1 GB;(3)300MB 的交换空间;(4)有CD-ROM 驱动器;(5)800x600 显示器需要32,768 色或以上的SVGA 显示卡;1.1.3 以下为作者所建议的计算机配备:(1)具有512 MB 内存的Pentium 4 2GB 微处理器;(2)安装软件所需硬盘空间约为2 GB;(3)500MB 的交换空间;(4)有CD-ROM 驱动器;(5)1024x768 显示器需要32,768 色或以上的SVGA 显示卡(采用GForce2 MX 等级以上的显示卡) 。

1.2 OrCAD 10.3 Release 的安装步骤OrCAD 10.3 Release 的安装共分为两大部分:1. 直接安装产品2. 设置环境变量本章以Windows XP 环境为例,说明它的安装和使用步骤,安装步骤如下:1.2.1 直接安装OrCAD 10.3 Release(1) 插入OrCAD 10.3 Release 光盘, 进行自动播放,出现如图1-1a 所示图像, 按下【Install Software】进入自动安装过程。

若没有出现上面的对话框,请使用者自行执行位于CD-ROM 内光盘根目录的【setup.exe】,以便激活安装程序,此时安装程序正在准备安装精灵,以方便使用者安装本程序,如图1-1b 所示。

DC的搭建

DC的搭建
配置漫游用户配置文件用普通用户登录client191919使用本地管理员账户登录19配置用户195查看在域上登录后的桌面环境19任务三
实验目的
任务一:安装AD
任务二:配置用户漫游文件
任务三:OU的管理
实验步骤:
任务一:安装AD
在这之前有设置DNS为本DC的IP地址!
1、输入命令安装
当然我是在这台DC上搭建的DNS服务器
4、委派域账户manager对工程部OU有、使用管理员用户在客户机上登录
任务二:配置漫游用户配置文件
1、用普通用户登录CLIENT
2、使用本地管理员账户登录
3、把配置文件复制到服务器上
4、配置用户
5、查看在域上登录后的桌面环境
任务三:OU的管理
1、创建OU
2、将用户hui1移动到人事部OU中
3、在OU中创建域用户账户和人局组

SPHEROS Thermo DC 操作安装手册

SPHEROS Thermo DC 操作安装手册
任何故障排除与维修工作都必须由合格的电工进行,并确保在 所有情况下,加热设备已经断开电源。
损坏或有故障的配件都必须使用原厂配件进行更换。
注意! 过热异常
如发生异常情况,加热器在到达125℃后将触发过热保护开 关,中断加热。如要重置,您必须激活复位按钮。在重新启 动加热器之前,必须检查任何可能的损坏或故障的原因并消 除故障。
连接到加热器的电缆插头必须满足: 制造商: FEP 制造商号码:42064500 或一样的设计42064000 仅允许使用一下接插件: 制造商: FEP 制造商号码:4212 1100, Spheros-ID: 11114402_
3.1. 开关或继电器选择 可以配置一个带有指示灯的开关,开关承载电流必须达到 1.5A。 建议使用Spheros的开关2711011A,开关上自带指示灯。 为便于监视会向汽车提供操作显示,显示加热系统和开关信 号状态。
3
图 1: Spheros 旋钮开关
30V max. 250mA
mind. 30V, 1,5A
Anschluss externe Ansteuerung
2 br
+
1 rt
Spheros Thermo AC
max. 10m mind. 2,5mm2
图 2: 接线图与开关
400V, AC3, PE
请注意遵守规定意味着安全 - FI 保护开关 (4 极)
任何维护工作,特别是维修工作前,必须将设备从电源断 开。
加热器各部件,特别是电气控制系统,必须每年至少检修 一次,以保证没有任何缺陷。独立的强制性地方法规也必 须遵守。
在任何情况下,加热器不允许在空气中干烧,因为可能 会损坏内部组件!
请勿将加热棒取出,即使以检查的目的,因为它们可能永久 损坏或摧毁。

常用synopsys _dc命令详解

常用synopsys _dc命令详解
重要参数:工艺库,目标库,标志库等等。要在.synopsys_dc.setup 上设置好这些参数。 而.synopsys_dc.setup 要在三个目录下有说明,一个是 synopsys 的安装目录,一个是用户文 件夹,最后一个是工程目录。由后一个设置覆盖前一个文件。
参数包括:search_path, target_library, link_library, symbol_library
1.45 综合时不想使用某些库单元进行 mapping,怎么办? 使用 set_dont_use 命令
/******** Part 2 Compile stategy **************/
2.1 约束一个设计分为几个方面? 总的分为,面积约束和时序约束。
2.2 面积约束ference 是多个子设计例化的通称,port 是 design 的输入输出,pin 是 cell 的输入输出。
1.20 什么叫 start point 和 end point?
这两个概念是 DC 中 path 概念的起始点和终点。 起始点可以是 input 和 FF 的 clk 终点可以是 FF 的 data 和 output。
2.10 如何对当前设计的端口外部条件进行约束? 端口的外部条件包括输入驱动大小,输出负载的大小,扇出大小。
2.11 输入端口被多大的驱动所驱动? 可以使用 set_dirive 和 set_driving_cell
2.12 输出端口要驱动多大的负载? 使用 set_load 对输出电容值进行约束,单位根据工艺库的 define 所定。
2.5 什么叫虚拟时钟约束? 虚拟时钟是指在当前要综合的模块中不存在的物理时钟。比如,设计外的 DFF 的时钟。 建立这样的时钟有益于描述异步电路间的约束关系。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

喾林原创
Synopsys DC10.03安装配置
1、需准备安装包:
1)、Synopsys DC(design compiler)安装包
2)、SCL 安装包(注:此包为synopsys license 管理)。

3)、Synopsys 图像安装工具:installer2.0及以上均可。

(注:图形安装操作简单,不易出错,故采用图形安装界面)
4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。

2、开始安装DC : 1)、启动图形安装界面
于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。

解压后运行setup.sh 得如图(一)所示界面。

图 (一)
喾林原创点击“start ”有如图(二)所示界面开始安装。

在“Source ”栏选中DC 安装文件所在上层目录。

“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。

图 (二) 之后可一直“NEXT ”到如图(三)所示。

图 (三)
在该界面勾选linux选项即可,继续下一步到如图(四)所示。

选择安装路径后继续下一步直到结束。

喾林原创
图(四)
至此DC安装结束。

3、开始安装SCL:
此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。

4、license的制作:
License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。

喾林原创
图(五)
点击“OPEN”选择Synopsys.lpd文件,“打开”。

回到图(五)所示界面。

勾选上Custon、Use Daemon及最后一个Custon。

喾林原创
在两个“Custon ”后输入Linux 系统的MAC 。

注:Linux MAC 获取命令。

A :lmhostid 下图(六)红线处即为 MAC 。

图 (六) B :ifconfig 下图(七)红线处即为MAC,在填写是需删 除“:”及字母大写换成小写。

图 (七)
填写好以上内容后点击“Generade ”出现license
内容界面,取名
ynopsys.dat保存(例:保存到D:/Synopsys SSS Feature Keygen)。

2)、点击菜单键>运行(或者快捷键:WIN+R),输入“cmd”进入命令界面。

进入D:/Synopsys SSS Feature Keygen目录下。

具体命令如图(八)所示。

(注:“cd”为改变路径的命令,“ls”为显示当前路径下文件及文
喾林原创
图(八)
到Synopsys SSS Feature Keygen路径后输入“sssverify synopsys.dat”回车后自动在D:/Synopsys SSS Feature Keygen下生成一个名为license.dat的文件。

(注:该路径下必须存在sssverify.exe及之前生成的synopsys.dat文件,否则生成不了或者生成的license.dat失效导致启动不了DC。


喾林原创
3)、合并dat 文件
打开license.dat 文件(可用记事本打开)复制出图(九)红框所示部分内容。

图 (九)
再打开synopsys.dat 文件(和license.dat 文件一样,也可用记事本打开)。

删除图(十)红框所示内容再将图(九)红框内容粘贴到该处。

图 (十)
这时license 初步完成,但是还得更改SERVER 行和DAEMON 行。

说明:A:SERVER 行格式
SERVER 计算机名 网卡地址(MAC ) 端口
(注:在liunx 下查看计算机名的命令:uname –a 。

MAC 获取方法前面已说过,默认生成端口为27000。

可以自
己改动)
喾林原创B:DAEMON 行格式
DAEMON snpslmd !!!Path_to_snpslmd.exe
“snpslmd ”后是该工具的路径及名称。

如果没改动,该
路径则为: /SCL 安装目录/linux/bin/snpslmd 。

修改完以上内容,license 制作完全完成。

5、环境变量的配置(bash )
环境配置内容一般包含一下几个内变量: SNPSLMD_LICENSE_FILE 、LM_LICENSE_FILE 、SYNOPSYS 和PATH 。

SYNOPSYS 该变量指向DC 安装目录
SNPSLMD_LICENSE_FILE 该变量指向计算机及其端口
LM_LICENSE_FILE 该变量指向license.dat 文件存放路径 PATH 该变量指向运行程序的目录,一般为DC
安装目录下的bin 文件夹
以下为我环境变量的配置:
#alias syn='/eda_tools/synopsys/SCL/linux/bin/lmgrd -c
/eda_tools/synopsys/DC10.03/license.dat
> /eda_tools/synopsys.log'
export SYNOPSYS=/eda_tools/synopsys/DC10.03
export SNPSLMD_LICENSE_FILE=27000@localhost.localdomain #export
LM_LICENSE_FILE=/eda_tools/synopsys/DC10.03/synopsys.dat
喾林原创
export PATH=$SYNOPSYS/bin:$PATH
修改完成后source 环境,运行(dc_shell 、design_vision )即可。

6、说明
Synopsys 公司的软件license 制作好了即可启动,不需特别的破解,环境配置好后不能启动一般都是license 制作的问题。

之前我启动的时候
运行dc_shell 报错:
Fatal: Design Compiler is not enabled. (DCSH-1);
运行design_vision 报错:
Unable to obtain feature 'Design-Vision ' because:
Error: Can't communicate with the license server. (SEC-12)
Please contact at root@(none), who is your local Synopsys license administrator for Synopsys site 000.
Unable to obtain feature 'Design-Analyzer ' because:
Error: Can't communicate with the license server. (SEC-12)
Please contact at root@(none), who is your local Synopsys license administrator for Synopsys site 000.
Fatal: At least
这是license 没有正确的启动。

这是有可能是因为license 制作过程有问题。

在制作时需要注意license 工具里面的两个文件:一为EFA LicGen 0.4b 文件加里面的licgen.dll ,该文件不能是老版本的。

另一文件为EFA LicGen 0.4b/packs/synopsys.src,license 启动不成功很有可能是因为该文件导致生成的license 不能启动。

相关文档
最新文档