单片机实现电子计时跑表的设计实验报告

合集下载

基于单片机的电子跑表设计--毕业设计

基于单片机的电子跑表设计--毕业设计

毕业设计基于单片机的电子跑表设计此设计还有以下资料,有需要的朋友下载了文档后留下你的邮箱,方便我传给你。

目录第一部分过程管理资料一、毕业设计课题任务书 (3)二、本科毕业设计开题报告 (6)三、本科毕业设计进展情况记录 (10)四、本科毕业设计中期报告 (12)五、毕业设计指导教师评阅表 (13)六、毕业设计评阅教师评阅表 (14)七、毕业设计答辩及最终成绩评定表 (15)第二部分设计说明书八、设计说明书 (16)第一部分过程管理资料****届毕业设计课题任务书院(系):专业:本科毕业设计开题报告说明:开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一,此报告应在导师指导下,由学生填写,将作为毕业设计(论文)成绩考查的重要依据,经导师审查后签署意见生效。

本科毕业设计进展情况记录毕业设计题目:基于单片机的电子跑表设计班级:自本0302学号:46030216学生:刘正武指导教师:廖代文注:教师监督学生如实记录毕业设计(论文)过程中根据《课题任务书》拟定的进度与进展情况以及毕业设计(论文)撰写过程中遇到的问题和困难,并签署意见。

注:教师监督学生如实记录毕业设计(论文)过程中根据《课题任务书》拟定的进度与进展情况以及毕业设计(论文)撰写过程中遇到的问题和困难,并签署意见。

本科毕业设计中期报告院(系):电气与信息工程学院院、系:电气与信息工程学院毕业设计答辩及最终成绩评定表院、系(公章):说明:最终评定成绩=a+b+c,三个成绩的百分比由各院、系自己确定。

第二部分毕业论文摘要本次毕业设计的课题是基于单片机的电子跑表设计,设计要求为电子跑表能显示正确的时间并能作秒表使用。

设计的主要内容包括单片机最小系统(电源电路,复位电路,时钟电路),键盘电路,驱动电路,显示电路和电子跑表的程序设计。

我选用的单片机型号为AT89S52,采用两片74LS244为驱动电路,显示采用两个4位一体的七段数码显示管,通过制作实物,编程,下载程序,制作的电子跑表能够实现正确的时间显示,并且可以实时调整时间显示,作秒表使用时计时范围为000.0秒到999.9秒,通过检测,发现误差不大。

电子计时秒表设计报告

电子计时秒表设计报告

西南民族大学机器人创新团队单片机设计报告项目名称:电子计时秒表项目成员:(第五组)XX XXXXXX负责人员:XXX完成时间:2014年 01 月 15 日报告成绩:摘要:本次设计的是一个能显示计时状态和结果的秒表,它是基于定时器/计数器设计的一个简单的电子计时秒表。

该系统采用STC89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED 数码管以及外部中断电路来设计计时器,使得系统能够实现四位LED显示,显示时间为00.00~99.99秒,计时精度为0.01秒,能正确地进行计时,并显示计时状态和结果,软件代码采用C语言编写程序,包括显示程序,初始化子程序,键盘扫描程序,中断服务程序,延时子程序等,并在keil中调试运行,硬件系统利用单片机电路板强大的功能来实现,操作简单且视觉效果易于观察。

关键字:STC89C52RC单片机、LED码管显示、电子计时秒表、C语言电子计时秒表设计一、任务要求:1、设计任务:设计并制作一个电子计时秒表。

2、设计要求:●利用定时计数器来实现电子秒表功能;●秒计时精确到0.01秒:●设计启动、暂停、清零按钮;●4位数码管显示。

二、成员组成及分工:XXX:负责本设计的前期资料搜集与整体布局;X X:负责程序的编写与调试;XXX:负责后期程序的改进及硬件操作;三、方案论证:1.显示部分:采用LED数码管动态显示。

对于静态显示方式,所需的译码驱动装置很多,引线多而复杂,且可靠性也较低。

而对于动态显示方式,上述缺点即可很好的避免,且视觉效果甚佳。

2.硬件介绍:2.1 STC89C52简介STC89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,STC89C52单片机在电子行业中有着广泛的应用。

单片机课程设计电子跑表

单片机课程设计电子跑表

电子跑表一.个人任务在本次课程设计中,本人负责跑表的C语言程序编程部份和开发板调试。

另一人负责proteus仿真。

二.设计要求以51开发板为核心设计一个多功能电子表。

利用AT89C52作为主控器组成一个具有跑表功能的4位LED显示器的电子跑表。

跑表的-999.9秒并具有跑表启动和跑表复位功能键。

跑表的显示范围:;当按下启动按钮跑表开始计时,按下停止按停止计时,当按下复位按钮跑表回零。

三.设计思路1.计时单元由单片机内部的按时器/记数器来实现。

2.跑表的显示功能是由LED数码管动态扫描来实现。

这能够利用专用的键盘/显示器接口芯片来实现对键盘/显示器的动态扫描。

3.跑表的启动/复位/清零功能由软件来实现。

P1.0接启动键,P1.1接停止键,P1.2接清零键。

四.设计方案在单片机中,按时功能既能够由硬件实现,也可通过软件按时实现。

硬件按时是利用单片机内按时器按时,启动以后按时器可与CPU并行工作,不占用CPU时刻,CPU有较高的工作效率。

采纳硬件按时和软件按时并用的方式,即用T0出中断功能实现50ms按时,通过软件延时程序实现1s按时。

按时器的有关的寄放器有工作方式寄放器TMOD和操纵寄放器TCON。

依照设计要求和设计思路,硬件电路有两部份组成,即单片机按键电路,LED 显示器电路,以下图为系统电路设计流程图。

图1 电路设计流程图依照课程设计要求,决定计时单元由单片机内部的按时器/记数器89C52芯片来实现。

跑表显示功能通过LED数码管动态扫描来实现。

能够利用专用的键盘/显示器接口芯片可实现对键盘/显示器的动态扫描。

五.硬件设计1. 单片机型号选择由于咱们利用的单片机开发板上的单片机的型号是SCT89C52,因此咱们只能选择这款型号的单片机。

可是这款单片机和SCT89C51是一样的,也是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处置器,器件采纳ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。

基于单片机的电子跑表设计--毕业设计

基于单片机的电子跑表设计--毕业设计

毕业设计基于单片机的电子跑表设计此设计还有以下资料,有需要的朋友下载了文档后留下你的邮箱,方便我传给你。

目录第一部分过程管理资料一、毕业设计课题任务书 (3)二、本科毕业设计开题报告 (6)三、本科毕业设计进展情况记录 (10)四、本科毕业设计中期报告 (12)五、毕业设计指导教师评阅表 (13)六、毕业设计评阅教师评阅表 (14)七、毕业设计答辩及最终成绩评定表 (15)第二部分设计说明书八、设计说明书 (16)第一部分过程管理资料****届毕业设计课题任务书院(系):专业:本科毕业设计开题报告说明:开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一,此报告应在导师指导下,由学生填写,将作为毕业设计(论文)成绩考查的重要依据,经导师审查后签署意见生效。

本科毕业设计进展情况记录毕业设计题目:基于单片机的电子跑表设计班级:自本0302学号:46030216学生:刘正武指导教师:廖代文注:教师监督学生如实记录毕业设计(论文)过程中根据《课题任务书》拟定的进度与进展情况以及毕业设计(论文)撰写过程中遇到的问题和困难,并签署意见。

注:教师监督学生如实记录毕业设计(论文)过程中根据《课题任务书》拟定的进度与进展情况以及毕业设计(论文)撰写过程中遇到的问题和困难,并签署意见。

本科毕业设计中期报告院(系):电气与信息工程学院院、系:电气与信息工程学院毕业设计答辩及最终成绩评定表院、系(公章):说明:最终评定成绩=a+b+c,三个成绩的百分比由各院、系自己确定。

第二部分毕业论文摘要本次毕业设计的课题是基于单片机的电子跑表设计,设计要求为电子跑表能显示正确的时间并能作秒表使用。

设计的主要内容包括单片机最小系统(电源电路,复位电路,时钟电路),键盘电路,驱动电路,显示电路和电子跑表的程序设计。

我选用的单片机型号为AT89S52,采用两片74LS244为驱动电路,显示采用两个4位一体的七段数码显示管,通过制作实物,编程,下载程序,制作的电子跑表能够实现正确的时间显示,并且可以实时调整时间显示,作秒表使用时计时范围为000.0秒到999.9秒,通过检测,发现误差不大。

单片机设计案例——跑表

单片机设计案例——跑表
17
分频器参考程序(续)
t2: process(clk_1k) variable q: std_logic_vector(3 downto 0); begin if clk_1k'event and clk_1k='1' then if q=9 then q:="0000"; else q:=q+1; end if; end if; clkout100<=q(3); end process; clkout1k<=clk_1k; end structure;
architecture structure of fdiv is signal clk_1k:std_logic:='0'; begin
16
分频器参考程序
t1:process(clkin) variable q : integer range 1 to 24000:=1; begin if clkin'event and clkin='1' then if q=24000 then q:=1; clk_1k<= not clk_1k; else q:=q+1; end if; end if; end process;
in std_logic; in std_logic; out std_logic_vector(3 downto 0); out std_logic_vector(3 downto 0); out std_logic_vector(3 downto 0); out std_logic_vector(3 downto 0); out std_logic_vector(3 downto 0); out std_logic_vector(3 downto 0));

基于单片机的电子跑表设计

基于单片机的电子跑表设计
PCB的设 计 是 电路设 计 的最 终 目的 ,要 想让 设计 的 电路 可 以应 用 到 实 际 中 ,就 需要 将 其 转化 为 PCB。 图3是PCB设 计 原理 图, 在 此 基础 上绘 制PCB版 图 并制 作 。
段码输入端
位码输 入端
图1接 线 图 2.2 动 态显 示 原理
位 LED数码 管 ,此 外再 加 上 小数 点dp,共 8个 。原则 上 , “8”字 形 的 数 码 显示 块 均 采 用A、B、c、D、E、F、G以及DP这8个 发光 二 极 管 。每 个发 光 二 极管 称 为 一个 字段 。8字形 的显 示块 有 共 阴极 和 共 阳极 两 种结 构 。
过字段输 出 口由CPU送 出时,所 有的LED显示器 会接 收到 同样的字 形 码,但 最终是 由COM端来 决定哪个 LED显示 器变亮 , 由上述 可知 ,I/ 0口可 以控* ̄COM端 ,因此我们很容 易通过控制l,0口从而实现对显 示 器变化情况 的控制 。这种通 过分时 的方 式来控制每个 显示器的I/O口达 到依次点亮 每个显示器 的方法就称之为动态 扫描。
动态 显示指 的是这 四位LED数码管 的段选均 以并联 的方式 连接 , 通 过 对位码输 入端 的控制 进而实 现对哪 一位数码 管亮灭 情况 的控 制 。 在 单 片机 的众 多显示 方式 中 ,动 态扫 描 显示 接 口无 疑是 其 中应 用最 普遍 的一 种。所 有显 示器 的8个笔划 段a.h同名端相 连 ,构 成它 的接 口 电路 。l/O线可 以 独立的控 制每 一个 显示 器的公 共极COM。字 形码通
ELECTRO NICS W ORLD ·
合肥 师 范学院魂 芯DsP产业化研 究院 乔 玲 陈兵兵 周元 元

51单片机电子时钟课程设计实验报告

51单片机电子时钟课程设计实验报告

《单片机原理与应用》课程设计总结报告题目:单片机电子时钟(带秒表)的设计目录1.题目与主要功能要求 (2)2.整体设计框图及整机概述 (3)3.各硬件单元电路的设计、参数分析及原理说明 (3)4.软件流程图和流程说明 (4)5.总结设计及调试的体会 (10)附录1.图一:系统电路原理图 (11)2.图二:系统电路PCB (12)3.表一:元器件清单 (13)4.时钟程序源码 (14)题目:单片机电子时钟的设计与实现课程设计的目的和意义课程设计的目的与意义在于让我们将理论与实践相结合。

培养我们综合运用电子课程中的理论知识解决实际性问题的能力。

让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。

课程设计的基本任务利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。

主要功能要求最基本要求1)使用MCS-51单片机设计一个时钟。

要求具有6位LED显示、3个按键输入。

2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。

3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。

开始计时时为000000,到235959后又变成000000。

4)使用3个键分别作为小时、分、秒的调校键。

每按一次键,对应的显示值便加1。

分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。

在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。

5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。

6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。

单片机电子实训报告-秒表的制作及其功能的实现

单片机电子实训报告-秒表的制作及其功能的实现

单片机电子实训报告目录第一章:前言1.1 单片机的基本概况 (3)第二章:设计目的及其思路2.1 设计目的 (4)2.2 设计思路 (4)2.3 设计思路总结 (6)第三章:电路图及其解释3.1秒表的完整电路图 (7)3.2电路图的原理解释 (8)第四章:程序功能实现4.1秒表的完整C语言源程序 (9)4.2 C语言源程序的分析 (10)第五章:总结第一章前言第一节单片机的基本概况随着技术的飞速发展,国民经济各个领域对自动化的要求越来越迫切。

计算机在自动化技术中发挥着及其重要的作用。

从计算机外围设备、民用电器、医用仪器设备、机电一体化产品到航空航天技术,从人工智能、工业机器人到人体工程等领域中,开发计算机应用系统成为一个热门技术。

目前,8位、16位、32位单片机以及具有各种优异性能、特殊功能类型的单片机,如信号处理单片机、USB接口控制单片机、网络通信控制单片机等,可作为广大科技工作者的开发工具。

与通用计算机不同,单片机是专为智能仪器仪表与自动化领域设计开发的专用计算机,在一芯片上集成了组成了一个计算机所需的五个主要部件:运算器、控制器、存储器、输入接口和输出接口,具有体积小、功能强、可靠性好、容易扩展、使用方便、价格便宜等特点。

有两种结构的单片机体系。

一种是单总线结构,如Intel公司,Motorola公司和Zilog公司的系列产品。

另一种是双总线哈佛结构,如Microchip公司的PIC 系列产品和Atmel公司的AVR系列产品,单总线结构的单片机大多是复杂指令集计算机,而双总线哈佛结构的单片机大多是精简指令集计算机。

在国内,主流产品是Intel公司的MCS—51系列单片机。

PIC单片机和AVR 单片机由于速度快、功耗低、采用精简指令集,收到许多开发者的重视。

从20年纪70年代初期开始,Intel公司开始开发单片机产品。

MCS—48和MCS—51系列产品奠定了Intel公司在单片机领域的主导地位。

基于单片机的电子跑表设计

基于单片机的电子跑表设计

目录1 设计内容及要求 (1)1.1 设计内容与要求: (1)1.2设计要求: (1)2 系统总体方案设计 (1)2.1 总体方案设计 (1)2.2 设计说明 (1)3 各部分方案选定及接口设计 (2)3.1 主控制器单片机的选择 (2)3.2 时钟电路 (2)3.3显示接口电路 (3)3.4 键盘接口电路 (3)4 系统软件的设计 (4)4.1 设计说明 (4)4.2 主程序设计 (5)4.3 时间处理模块 (6)4.5 键盘扫描模块 (8)5 系统的调试与使用说明 (10)6 总结 (11)7 参考文献 (12)附录: (13)1 设计内容及要求1.1 设计内容与要求:具有时钟和电子跑表的功能。

开机为时钟功能,用4位LED数码管显示时、分,以24小时计时方式;用按键控制切换到电子跑表功能:可用3位数码管从00.0开始计时的功能。

1.2设计要求:1)确定系统设计方案;2)进行系统的硬件设计;3)完成必要元器件选择;4)完成应用程序设计;5)进行应用程序的调试;2 系统总体方案设计2.1 总体方案设计电子跑表的设计有多种方法,例如,可用中小规模集成电路组成电子跑表;也可用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子跑表;还可以利用单片机来实现等等。

为求结构简单,本次设计利用单片机组成数字电子跑表。

2.2 设计说明本系统采用AT89C51单片机、4位LDE数码管显示、一个排阻、4个调节按钮、2个电容与1个晶体振荡器共同构成本的单片机电子跑表的硬件。

时钟模块与计时模块则分别由单片机内部的定时器/记数器T0与T1来实现。

时间显示功能通过LED数码管动态扫描来实现。

电子跑表的启动/暂停/清零功能由软件来实现。

P1.0实现时钟与秒表的切换功能,P1.1接开始计时键,P1.2接计时暂停键,P1.3接计时重新计时键。

本系统软件部分则采用C51编写,功能模块结构化强,共利用了6个功能函数,2个中断服务函数和1个主函数构成了本次电子跑表的软件部分。

51单片机跑表课程设计

51单片机跑表课程设计

51单片机跑表课程设计一、课程目标知识目标:1. 学生能理解51单片机的基本原理,掌握其编程方法。

2. 学生能掌握跑表功能实现的硬件设计,包括定时器/计数器的工作原理和应用。

3. 学生能了解并运用中断系统,实现跑表的精确计时功能。

技能目标:1. 学生能够运用C语言编写程序,实现对51单片机的控制。

2. 学生能够设计并搭建简单的跑表电路,进行功能调试和优化。

3. 学生能够通过课程学习,培养动手实践能力,提高问题解决技巧。

情感态度价值观目标:1. 学生在课程学习中,培养对电子技术和编程的兴趣,提高学习积极性。

2. 学生在团队协作中,学会相互沟通、合作,培养集体荣誉感。

3. 学生通过课程实践,认识到科技对社会发展的作用,树立正确的价值观。

课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际问题解决能力。

学生特点:学生具备一定的电子技术基础和C语言编程能力,对单片机有一定了解。

教学要求:结合学生特点,注重理论与实践相结合,引导学生通过动手实践掌握课程内容,提高学生的综合运用能力。

在教学过程中,关注学生的个体差异,因材施教,确保每位学生能够达到课程目标。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容1. 51单片机基础原理回顾:包括51单片机的内部结构、工作原理,重点复习定时器/计数器、中断系统等关键知识点。

相关教材章节:第一章《单片机概述》和第二章《51单片机结构及原理》。

2. C语言编程基础:回顾数据类型、运算符、控制语句等基础语法,为编写跑表程序打下基础。

相关教材章节:第三章《51单片机C语言编程基础》。

3. 跑表功能硬件设计:讲解跑表电路的设计方法,包括时钟电路、复位电路、显示电路等。

相关教材章节:第四章《51单片机接口技术》。

4. 跑表程序设计:教授如何利用定时器/计数器、中断系统编写跑表程序,实现计时功能。

相关教材章节:第五章《51单片机定时器/计数器与中断系统》。

单片机电子跑表课程设计

单片机电子跑表课程设计

单片机电子跑表课程设计一、课程目标知识目标:1. 学生能理解单片机的基本原理,掌握其编程方法。

2. 学生能掌握电子跑表的工作原理,了解各部分功能及其相互关系。

3. 学生能运用所学知识设计并实现一个简单的单片机电子跑表。

技能目标:1. 学生能够运用C语言或汇编语言进行单片机编程,实现电子跑表的基本功能。

2. 学生能够运用电路设计软件绘制电子跑表的原理图和PCB图。

3. 学生能够运用调试工具对单片机程序进行调试,解决常见问题。

情感态度价值观目标:1. 学生培养对电子制作的兴趣,激发创新意识和动手能力。

2. 学生在团队协作中,学会沟通与交流,培养合作精神。

3. 学生能够关注单片机技术在生活中的应用,认识到科技发展对生活的影响。

课程性质:本课程为实践性较强的课程,结合理论知识与实际操作,培养学生动手能力。

学生特点:学生具备一定的电子基础知识,对单片机有一定了解,但编程和实际操作经验不足。

教学要求:结合学生特点,注重理论与实践相结合,强调动手实践,培养学生解决问题的能力。

在教学过程中,关注学生的个体差异,提供个性化指导。

通过课程学习,使学生能够独立完成单片机电子跑表的设计与制作。

二、教学内容1. 单片机原理与编程基础- 单片机结构及工作原理- C语言或汇编语言基础- 单片机编程环境搭建2. 电子跑表原理与设计- 电子跑表功能需求分析- 电路设计原理及元件选择- 原理图和PCB图绘制方法3. 单片机与外围电路接口技术- 按键输入接口设计- 数码管显示接口设计- 定时器/计数器应用4. 程序设计与调试- 程序框架设计- 功能模块编写- 程序调试与优化5. 实践操作- 电子跑表组装与调试- 故障分析与排除- 课程项目展示与评价教学内容安排和进度:第一周:单片机原理与编程基础第二周:电子跑表原理与设计第三周:单片机与外围电路接口技术第四周:程序设计与调试第五周:实践操作(含课程项目展示与评价)教学内容与教材关联性:本教学内容紧密结合教材,按照教材章节逐步展开,使学生能够循序渐进地掌握单片机电子跑表的设计与制作。

单片机实验报告(电子时钟)

单片机实验报告(电子时钟)

一、课程设计的内容和要求:1了解单片机的种类,掌握单片机的工作原理;2 掌握利用单片机进行系统设计的方法;3掌握利用protel进行原理图设计和PCB设计的方法;4学会进行单片机硬件调试和软件调试;5 了解单片机系统整个设计开发流程。

二、设计装置功能1、用单片机实现设计要求(1)实现功能:①正常的24小时制的电子表功能显示(时/分/秒)。

②任意时间(时/分/秒)闹钟时刻的设置并在设定时刻响铃。

(2)所使用器件:STC 89C52RC单片机1个、2位共阳极数码管3个、蜂鸣器1个、74LS138一片、74LS47一片、74HC04一片、电阻、电容及其他辅助电子元件。

(3)显示时间与闹钟时刻的设置:单片机的人机操作部分由六个按钮组成。

从电子钟电路板上(从左到右)分别是:①单片机复位键②闹钟开关③小时位累加键④分钟位累加键⑤秒钟位累加键⑥闹钟/时间显示切换键按键说明:复位键——把3个2位数码管显示数字全部清零。

闹钟开关键——按下键,闹钟开关模式切换。

时针位累加键——按下键,则实现时针位的累加00-23(累加循环)。

分针位累加键——按下键,则实现分针位的累加00-59(累加循环)。

秒针位累加键——按下键,则实现秒针位的累加00-59(累加循环)。

闹钟/时间显示切换键——按下键,能够实现数码管闹钟和时间两种显示功能的切换。

三、设计问题分析面对的问题主要是两方面:一个是软件的设计,也就是实现计时定时的控制功能的程序编辑,在电脑上模拟需要实现的功能;另一个是硬件的设计,需要我们自己购买器件、设计并焊接电路板。

而更为重要的一步是将软件、硬件相结合,做好电路后,我们试着把程序写入芯片测试,然而没有获得应该有的显示,接着我们多次检查电路,修改程序,在不断调试中终于实现正确显示。

四、设计思路本次设计的系统以动态显示显示时分秒模块,它能显示正确的时间,而且所显示时间与北京时间相同,基本做到同步,显示清晰明亮,可读性强。

系统主程序开始后,首先是对系统环境初始化,设置好时分秒后系统开始运行;然后可打开闹钟,预设响铃的时刻,计时系统到该时刻后自动响设定铃声。

电子跑表的设计与实现—(单片机)

电子跑表的设计与实现—(单片机)
2.1主程序的设计
图3 主程序设计框图
1)程序的起始地址:MCS-51单片机复位后,(PC)=0000H,而0003H-002BH分别是各中断源的入口地址。所以,编程时应在0000H
处写一条跳转指令。当CPU接收到中断请求信号并予以响应后,CPU把当前的PC内容压入栈中进行保护,然后转入响应的中断服务程序入口处执行。
跑表程序如下:
WATCH: Y
CLR RS0
CLR RS1
CJNE R6,#0FFH,TP ;跑表程序
MOV R6,#0H ;清标志位
INC R4
CJNE R4,#100,TP ;判是否到10s N
MOV 41H,R4 ;送41H单元
MOV R4,#0H Y
INC R5
CJNE R5,#100H,TP ;判是否到1000s
中断返回后,判断F0,若F0=0,即作为时钟使用时,则R7=10为一秒,60秒则清零。若F0=1,即作为跑表使用时,将R5 R6的值进行十进制调整,即为跑表显示值,到9999则R5 R6清零,重新开始计数。若STOP键按下,则R5 R6停止计数。在跑表停止状态下,则返回显示当前时间。
2软件设计与说明
PUSH DPL
PUSH ACC
MOV DPTR,#Z8279
MOV A,#LEDMOD ;置8279工作方式
MOVX @DPTR,A
MOV A,#LEDFEQ ;置键盘扫描速率
MOVX @DPTR,A
MOV A,#LEDCLS ;清除LED显示
MOVX @DPTR,A
POP ACC
POP DPL
POP DPH
RET
2.3 跑表计时程序模块的设计
1)60分钟与1000秒时间的产生。选择定时器T0,用工作方式1,定时 50ms,R7先置2,T0定时50ms中断一次,利用R7寄存器减1,当R7寄存器的值=0时,时间正好是0.1s,再利用R0寄存器计数,当R0=10时,时间刚好是1s,再利用R1寄存器计数60s,依次类推,累计60分钟的是时间值,需要用到以下几个寄存器:

单片机秒表设计实验报告(1)

单片机秒表设计实验报告(1)

本科学生设计性实验报告
学号姓名
学院物理与电子专业、班级
实验课程名称简易秒表设计
教师及职称
开课学期2013 至2014 学年下学期
填报时间2014 年 6 月 5 日
云南师范大学教务处编印
摘要:单片机控制秒表是集于单片机技术、模拟电子技术、数字技术为一体的机电一体化高科技产品,具有功耗低,安全性高,使用方便等优点。

本次设计内容为以 89C51 单片机为核心的秒表,它采用键盘输入,单片机技术控制。

设计内容以硬件电路设计,软件设计和PCB 板制作三部分来设计。

利用单片机的定时器/计数器定时和计数的原理,用集成电路芯片、LED 数码管以及按键来设计计时器。

将软、硬件有机地结合起来,使他拥有正确的计时、暂停、清零、并同时可以用数码管显示,在现实生中应用广泛。

基于51单片机的跑表设计

基于51单片机的跑表设计

4种工作方式 (方式0-方式3):
选用方式1——16位定时 /计数器,由TH的8位和TL的 8位组成。选用工作方式1, 则为16位的定时/计数器, 其最长计时为65536。若要 定时时间为50ms,则初值为
TH0=(65536-50000)/256;
TR0、TR1——计数运行控制位
TL0=(65536-50000)%256;
P0=b[ms/10];
//十位
P2=0xfd;
//11111101
delay(2);
P0=b[ms%10];
//个位
P2=0xfe;
//11111110
delay(2);
}
பைடு நூலகம்
相关程序:(中断-定时器0程序)
void time0_TSR(void) interrupt 1 {
TF0=0; TH0=(65536-10000)/256; //高4位 TL0=(65536-10000)%256; //低4位 ms++; if(ms==100) { ms=0; s++; if(s==60) { s=0; m++; if(m==60) m=0; } }
任务要求:
1.使用6位数码管显示时间,时间包括分、秒、 0.01秒,格式如下:mm.ss.ms 2.可以通过按键启动或者停止跑表。按键只能使 用四个独立键。 3.时间通过定时器产生
数码管显示原理:
数码管由7个发光二极管组 成,形成一个日字形,它们可以 共阴极,也可以共阳极,通过解 码电路得到的数码接通相应的发 光二极管而形成相应的字。
动态显示的亮度比静态显示要差一些,所 以在选择限流电流时应小于静态显示电路中的。
按键识别原理:

单片机电子钟实训报告

单片机电子钟实训报告

单⽚机电⼦钟实训报告XXXXXXXX⼤学XXXXX学院《单⽚机》实训报告专业班级学号姓名组号实验室成绩评定⽼师签名20XX年XX⽉XX⽇实训⽬的:掌握汇编语⾔程序设计和调试⽅法,熟悉键盘操作。

掌握RAM中的数据操作。

掌握程序设计⽅法。

掌握简单的数值转换算法。

了解单⽚机的结构,能利⽤单⽚机的内部硬件资源和外围常⽤器件进⾏⼩型实验,体会利⽤单⽚机汇编语⾔开发系统的⼯作过程,达到与毕业后实际⼯作情况⼀致的效果,彻底完成与毕业后实际⼯作环境“接轨”的⽬的实训任务:1、选定题⽬:设计⼀个电⼦时钟,格式为:XX XX XX由左向右分别为时、分、秒,利⽤单⽚机的定时器和外围的数码显⽰电路,以便可以进⼀步掌握定时器的使⽤和编程⽅法,进⼀步掌握中断服务程序的编程⽅法,进⼀步掌握数码显⽰电路的编程⽅法;2、理清思路,定时器每100uS中断⼀次,对中断次数进⾏计数,100uS计数10000次就是1S,然后对秒计数得到分和⼩时值,画出主程序框图,画出定时中断服务程序的框图,从⽽领会中断服务程序的原理,并着⼿编写该⼦程序;3、学会⽤伟福软件调试程序,并⽤该软件菜单的各项调试⼯具和窗⼝;结合硬件和软件,进⾏整体调试;数据转化为BCD码并显⽰程序流程图4、流程图:(⾃⼰弄)实训要求:1、实训要求①通过系统设计和调试实训等,要求获得单⽚机熟练的操作维护技能,领会单⽚机开发的整个过程;②实训结束后,每⼈写出实训报告,通过⽂字⽅式总结出本门课程全部的所学、所得,以此达⾄加深巩固、提⾼的⽬的;③实训中处理各种软、硬件故障,特别要求学⽣具有良好的⼼理素质和吃苦耐劳精神,因此,本实训对培养学⽣正确的劳动观念、组织纪律性、团队合作精神和严谨的科学作风起到了⾄关重要的作⽤。

2、实训报告在实训过程中完成各实训任务的同时,写1份实训报告,即实训⼼得体会(要求⼿写)。

⼀、硬件部分AT89C51芯⽚ 7SEG-MPX8-CC-BLUE共阴极数码管试验⼀1、设计要求:①⽤AT89C51芯⽚、7SEG-MPX8-CC-BLUE共阴极数码管完成动态扫描②7SEG-MPX8-CC-BLUE共阴极数码管中显⽰的数字依次为765432102、硬件连接:确定连接⽅式,AT89C51的P1接7SEG-MPX8-CC-BLUE共阴极数码管的显⽰数据,P2接⽚选3、硬件电路:4、汇编语⾔:5、调试过程:在调试过程中出现⼀些问题,⽐如数码管⽆法正常显⽰数字,有的显⽰正确了,有的⽆法显⽰。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
单片机实现电子计时跑表的设计报告
一、设计要求: 1、 能显示分、秒、1/100 秒,显示器用七段数码管(也可选
LCD) 2、 具有清零、计时、冻结功能,功能转换用一位非锁紧按
键开关。 3、 CPU 选用 89C51/MSP430,定时器芯片自选,也可用 CPU
内部的定时器。 4、 先写设计报告(要有仿真结果) 5、 编程语言可用 51 汇编/51C。 6、 焊接、调试、做出实物(也可制作 PCB) 7、 调试,误差分析,并补充到设计报告中。 二、方案设计:
D
1 0 1 1 1 1 0 5EH
A1H
E
1 1 1 1 0 0 1 79H
86H
F
1 1 1 0 0 0 1 71H
8EH
(4) 单片机的选择
根据初步设计方案的分析,设计这样一个简单的应用系 统,可以选择带有 EPROM 的单片机,应用程序直接存储 在片内,不用在外部扩展程序存储器,电路可以简化。 本系统选用 AT89C51 单片机。该芯片的功能与 MCS-51 系列单片机完全兼容。
INIT: CLR 00H MOV P3,#0FFH MOV P2,#00H MOV P0,#3FH MOV TMOD,#01H MOV TH0,#0D8H MOV TL0,#0F0H SETB EA SETB ET0 SETB TR0 MOV DPTR,#TAB MOV 30H,#00H MOV 31H,#00H MOV 32H,#00H MOV 33H,#00H MOV 34H,#00H MOV 35H,#00H RET ;/////////////显示子程序
仿真图:

秒位增 1
增到 60?

分位增 1
增到 100?

数码管清零
中断返回
否 否
程序:
ORG 0000H LJMP MAIN ORG 0BH LJMP SERVE ORG 0100H MAIN: LCALL INIT GO: LCALL DIS JNB 00H,GO1 SETB TR0 AJMP GO GO1: CLR TR0 AJMP GO ;//////////初始化子程序
MOV P0,A LCALL D100UM
MOV MOV MOV MOVC MOV LCALL
A,#0FDH P2,A A,31H A,@A+DPTR P0,A D100UM
MOV MOV MOV MOVC ADD MOV LCALL
A,#0FBH P2,A A,32H A,@A+DPTR A,#80H P0,A D100UM
下图为 MCS51 的管脚图。共有 40 个管脚,P 口 32 个输入 输出管脚本次设计用了 16 个。
主流程图如下所示:
开始
初始化(数码管置零)

键是否摁下

计时

键是否摁下

计时暂停


键是否摁下
计时中断子程序流程图:
开始
保护现场

P3_5 是否为 1?

1/100s 增 1
增到 100?

方案框图:
按键输入
时钟电路
单片机
LED 显示器段 码驱动电路
4 位 LED 显示 器电路
复位电路
(1) 开关电路: 电子跑表的启动/复位/清零功能由软件来实现,一键控制。
(2) 驱动电路:
LED 显示器的显示控制方式分为静态显示和动态显示两种, 若选择静态显示,则 LED 驱动器的选择较为简单,只要驱动器 的驱动能力与显示器的电流相匹配即可,而且一般只需考虑段 的驱动;动态显示则不同,由于一位数据的显示是由段和位选 信号共同配合完成的,因此,要同时考虑段和位的驱动能力, 而且段驱动能力决定位的驱动能力。 (3) 6 位 LED 显示器:
INC MOV CJNE MOV
30H A,30H A,#10,EXIT 30H,#00H
INC MOV CJNE MOV
31H A,31H A,#10,EXIT 31H,#00H
INC MOV CJNE MOV
32H A,32H A,#10,EXIT 32H,#00H
INC MOV CJNE MOV
字型与字段关系如下表:
显示 g
f edcba
字型码
字符
共阴极
共阳极
0
0 1 1 1 1 1 1 3FH
C0H
1
0 0 0 0 1 1 0 06H
H
A4H
3
1 0 0 1 1 1 1 4FH
B0H
4
1 1 0 0 1 1 0 66H
99H
5
1 1 0 1 1 0 1 6DH
MOV MOV MOV MOVC MOV LCALL
A,#0F7H P2,A A,33H A,@A+DPTR P0,A D100UM
MOV MOV MOV MOVC ADD MOV LCALL
A,#0EFH P2,A A,34H A,@A+DPTR A,#80H P0,A D100UM
MOV A,#0DFH MOV P2,A MOV A,35H MOVC A,@A+DPTR MOV P0,A LCALL D100UM RET WAIT: LCALL D5MS JNB P3.5,WAIT0 LJMP DIS0 WAIT0: CPL 00H
92H
6
1 1 1 1 1 0 1 7DH
82H
7
0 0 0 0 1 1 1 07H
F8H
8
1 1 1 1 1 1 1 7FH
80H
9
1 1 0 1 1 1 1 6FH
90H
A
1 1 1 0 1 1 1 77H
88H
B
1 1 1 1 1 0 0 7CH
83H
C
0 1 1 1 0 0 1 39H
C6H
DIS: JNB P3.5,WAIT JNB P3.1,L1 LJMP DIS0 L1: LCALL D5MS JNB P3.1,L2 LJMP DIS0 L2: JNB P3.1,L2 JNB 00H,L3 DIS0: MOV A,#0FEH MOV P2,A MOV A,30H MOVC A,@A+DPTR
JNB LJMP L3: MOV MOV MOV MOV MOV LJMP
P3.5,$ DIS0 MOV 30H,#00H 31H,#00H 32H,#00H 33H,#00H 34H,#00H 35H,#00H DIS0
;//////////中断服务程序 SERVE: CLR TR0 CLR TF0 MOV TH0,#0D8H MOV TL0,#0F0H
相关文档
最新文档