数电仿真实验报告
数字电路仿真实验实验报告
表(1)逻辑与门输入输出关系
A
B
Y
0
00Biblioteka 1101
1
所以逻辑与门的输入输出关系如式(1)所示。
式(1)
2.测试逻辑与非门的输入输出关系
……
3.测试XXX的输入输出关系
……
数字电路仿真实验实验报告
实验名称
基本逻辑门的使用
学生姓名
学生学号
一、实验目的
1.使用Tina软件测试基本逻辑门电路的输入输出关系,掌握与门、与非门、或门、或非门、非门等常用逻辑门的逻辑关系。
2.熟悉Tina软件的操作环境,掌握逻辑电路的画图和功能测试方法。
二、实验内容
1.测试逻辑与门的输入输出关系
测试电路如图(1)所示,测试结果如表(1)所示。
数电仿真实验报告
数电仿真实验报告数字电路仿真实验报告引言:数字电路仿真实验是电子信息类专业学生在学习数字电路原理与设计课程中的一项重要实践环节。
通过仿真实验,学生可以进一步加深对数字电路的理解,掌握数字电路的设计方法和仿真工具的使用。
本文将结合具体的实验案例,介绍数字电路仿真实验的目的、原理、实验步骤和实验结果。
一、实验目的本次实验的目的是通过使用仿真软件,设计并验证一个简单的数字电路电路原理图,了解数字电路仿真的基本流程,掌握仿真软件的使用方法,并通过仿真结果验证设计的正确性。
二、实验原理数字电路仿真实验是通过计算机软件模拟电路的运行过程,以验证电路设计的正确性。
仿真软件可以模拟电路的输入和输出波形,以及电路中各个元件的工作状态。
在数字电路仿真实验中,我们主要使用Verilog HDL(硬件描述语言)来描述电路结构和功能,并通过仿真软件进行仿真。
三、实验步骤1. 确定实验电路的功能和结构,绘制电路原理图;2. 使用Verilog HDL编写电路的结构描述和功能描述;3. 使用仿真软件加载Verilog HDL代码,并设置仿真参数;4. 运行仿真软件,观察并分析仿真结果;5. 根据仿真结果,对电路进行调试和优化,直至达到预期的功能和性能。
四、实验案例以设计一个4位二进制加法器为例,介绍数字电路仿真实验的具体步骤和过程。
1. 确定实验电路的功能和结构:4位二进制加法器是由4个全加器组成的,每个全加器有两个输入和两个输出。
输入包括两个4位二进制数和一个进位信号,输出为一个4位二进制数和一个进位信号。
2. 绘制电路原理图:根据功能和结构确定电路原理图,将4个全加器按照一定的连接方式组合在一起,形成4位二进制加法器的电路原理图。
3. 使用Verilog HDL编写电路的结构描述和功能描述:根据电路原理图,使用Verilog HDL编写电路的结构描述和功能描述。
结构描述包括各个元件的连接方式和引脚定义,功能描述包括各个元件的逻辑运算和信号传递。
数字逻辑 数字电路仿真实验报告
数字电路仿真 实验报告一、实验目的(1)学会组合逻辑电路的特点;(2)利用逻辑转换仪对组合逻辑电路进行分析与设计。
二、实验内容设计一个4人表决电路。
即如果3人&或3人以上同意,则通过;反正,则被否决。
用与非门实现。
三、实验原理组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。
用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。
*用途:表决 *逻辑框图: 输入端 输出端*逻辑功能表InputOutputA1A2A3 A4 & YA1 A2 A3 A4Sum 1000 (任意顺序,只在乎最后结果) >30 1100(任意顺序,只在乎最后结果) 1110(任意顺序,只在乎最后结果) >=311111(任意顺序,只在乎最后结果)电平的个数之和,其和小于3则输出0,表决不成功,其和大于或者等于3则输出1,表决成功。
*逻辑框图:*逻辑功能表inputs and sum output A1 sum A2 sum A3 sumA4 sum 1112 1314 1输入端0 3 10 2 1 3 1 0 2 00 1 1 21 3 10 2 00 11 2 00 1 00 0 1 11 21 3 10 2 00 11 2 00 1 00 01 11 2 00 1 00 01 1 00 0 0输入的数据依次相加,若最后和的结果大于等于3则输出1,否则输出0四、实验步棸1、编写源代码。
(1)打开QuartusⅡ软件平台,点击File中得New Project新建工程,将工程名称建得跟文件夹名称一样为ren。
在File 中New建立一个VHDL文件。
VHDL语言设计如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ren ISPORT (A1,A2,A3,A4:IN STD_LOGIC;Y:OUT STD_LOGIC);END;ARCHITECTURE bhv OF ren ISBEGINPROCESS(A1,A2,A3,A4)VARIABLE SUM:INTEGER RANGE 0 TO 4;BEGINSUM:=0;IF A1='1'THEN SUM:=SUM+1;END IF;IF A2='1'THEN SUM:=SUM+1;END IF;IF A3='1'THEN SUM:=SUM+1;END IF;IF A4='1'THEN SUM:=SUM+1;END IF;IF SUM>=3 THEN Y<='1';ELSE Y<='0';END IF;END PROCESS;END;(2)点击File/Save as以“.vhd”为扩展名存盘文件,命名为“ren.vhd”,保存时勾选“Add file to current file”选项。
数电实验报告1
实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。
2、熟悉数字电路学习机及示波器使用方法。
二、实验仪器及材料1、双踪示波器2、器件74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片74LS04 六反相器1片三、预习要求1、复习门电路工作原理相应逻辑表达示。
2、熟悉所有集成电路的引线位置及各引线用途。
3、了解双踪示波器使用方法。
四、实验内容实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。
线接好后经实验指导教师检查无误方可通电。
试验中改动接线须先断开电源,接好线后在通电实验。
1、测试门电路逻辑功能。
(1)选用双输入与非门74LS20一只,插入面包板,按图连接电路,输入端接S1~S4(电平开关输入插口),输出端接电平显示发光二极管(D1~D8任意一个)。
(2)将电平开关按表1.1置位,分别测出电压及逻辑状态。
(表1.1)2、异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。
(2)将电平开关按表1.2置位,将结果填入表中。
表 1.23、逻辑电路的逻辑关系(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。
将输入输出逻辑关系分别填入表1.3﹑表1.4。
(2)写出上面两个电路的逻辑表达式。
表1.3 Y=A ⊕B表1.4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd 值 : tpd=0.2μs/6=1/30μs 5、利用与非门控制输出。
选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S 对输出脉冲的控制作用:一端接高有效的脉冲信号,另一端接控制信号。
数字电路仿真实训实验报告
课程设计(大作业)报告课程名称:数字电子技术课程设计设计题目:多功能数字时钟的设计、仿真院系:信息技术学院班级:二班设计者:张三学号:79523指导教师:张延设计时间:2011年12月19日至12月23日信息技术学院昆明学院课程设计(大作业)任务书一、设计目的为了熟悉数字电路课程,学习proteus软件的使用,能够熟练用它进行数字电路的仿真设计,以及锻炼我们平时独立思考、善于动手操作的能力,培养应对问题的实战能力,提高实验技能,熟悉复杂数字电路的安装、测试方法,掌握关于多功能数字时钟的工作原理,掌握基本逻辑们电路、译码器、数据分配器、数据选择器、数值比较器、触发器、计数器、锁存器、555定时器等方面已经学过的知识,并能够将这些熟练应用于实际问题中,我认真的动手学习了数字时钟的基本原理,从实际中再次熟悉了关于本学期数字电路课程中学习的知识,更重要的是熟练掌握了关于proteus软件的使用,收获颇多,增强了自己的工程实践能力。
另外,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。
而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字钟包括组合逻辑电路和时叙电路。
通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
二、设计要求和设计指标设计一个数字时钟,具有“秒”、“分”、“时”计时和显示功能。
小时以24小时计时制计时;具有校时功能,能够对“分”、“时”进行调整;能够进行整点报时,报时规则为:在59Min51s后隔秒发出500Hz的低音报时信号,在59min59s时发出1kHz的高音报时信号,声响持续1s。
数字电路仿真实验报告模板
数字逻辑与CPU 仿真实验报告姓名:班级:学号:仿真实验摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。
本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。
一、组合逻辑电路的分析与设计1、实验目的(1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。
(2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。
(3)熟悉字信号发生器、逻辑分析仪的使用方法。
2、实验内容和步骤(1)采用逻辑分析仪进行四舍五入电路的设计①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。
②在仪表工具栏中跳出逻辑变换器XLC1。
图1-1 逻辑变换器以及其面板③双击图标XLC1,其出现面板如图1-1所示④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依次得到0、1、x状态)。
⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、电路图及非门实现的逻辑电路。
⑥记录不同的转换结果。
(2)分析图1-2所示代码转换电路的逻辑功能①运行Multisim,新建一个电路文件,保存为代码转换电路。
②从元器件库中选取所需元器件,放置在电路工作区。
•从TTL工具栏选取74LS83D放置在电路图编辑窗口中。
•从Source库取电源Vcc和数字地。
•从Indictors库选取字符显示器。
•从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设置改为A。
后面同理,分别改为B、C、D。
图1-2 代码转换电路③将元件连接成图1-2所示的电路。
④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填入表1-1中。
⑤说明该电路的逻辑功能。
数电实验报告【武大电气】
数字电路实验报告专业:电气工程与自动化实验一:组合逻辑电路分析一.实验目的1.熟悉大体逻辑电路的特点。
2.熟悉各类门的实物元件和元件的利用和线路连接。
3.学会分析电路功能.二.实验原理1.利用单刀双掷开关的双接点,别离连接高电平和低电平,开关的掷点不同,门电路输入的电平也不同。
2.门电路的输出端连接逻辑指示灯,灯亮则输出为高电平,灯灭则输出低电平。
3.依次通过门电路的输入电平与输出电平,分析门电路的逻辑关系和实现的逻辑功能。
三.实验元件1.74LS00D2.74LS20D四.实验内容(1)实验内容一:a.实验电路图:由上述实验电路图接线,在开关A B C D选择不同组合的高低电平时,通过对灯X1亮暗的观察,可得出上图的逻辑真值表。
b、逻辑电路真值表:实验分析:•=AB+CD ,一样,由真值表也能推出此由实验逻辑电路图可知:输出X1=AB CD方程,说明此逻辑电路具有与或功能。
(2)实验内容2:密码锁a.实验电路图:D 接着通过实验,改变A B C D 的电平,观察灯泡亮暗,得出真值表如下: b.真值表:实验分析:由真值表(表)可知:当ABCD为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。
由此可见,该密码锁的密码ABCD为1001.因此,可以取得:X1=ABCD,X2=1X。
五.实验体会:1. 这次实验应该说是比较简单,只用到了两种不同的与非门组成一些大体的逻辑电路。
2. 分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的彼此转换已抵达实验所要求的目的结果。
3. 咱们组在这次实验进程中出现过连线正确但没出现相应的实验结果的情况。
后经分析发现由于实验器材利用的次数较多,有些器材有所损坏,如一些导线表面是好的,其实内部损坏,因此意识到了连接线路时一是要注意器材的选取,二是在接线前必然注意检查各元件的好坏。
实验二:组合逻辑实验(一)半加器和全加器一.实验目的:熟悉几种元器件所带的门电路,掌握用这些门电路设计一些简单的逻辑组合电路的方式。
数电实验仿真报告
数电实验仿真报告实验一 组合逻辑电路设计与分析 一、实验目的(1)学会组合逻辑的特点(2)利用逻辑转换仪对组合逻辑电路进行分析与设计 二、实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时候的输出仅仅取决于同一时刻输入信号的取值组合。
根据电路确定功能,是分析组合逻辑电路的过程。
三、实验内容 (1)、利用逻辑转换仪对已知逻辑电路进行分析。
XLC1A BU1A 74LS136DU2A 74LS136DU3A 74LS04DU4A 74LS04DU5B 74LS04DU6C 74LS136D所以这是一个四位输入信号的奇偶校验电路。
(2)、根据要求利用逻辑转换仪进行逻辑电路分析。
火灾报警系统分析如下:生成的报警控制信号电路如下:A B C44454647484950四、思考题设计一个4人表决器,3人或3人以上同意则通过。
利用逻辑转换仪得真值表和表达式如下:根据真值表和表达式得逻辑电路如下:A B C D6566676869 7071727374757677787980818283 84利用逻辑转换仪对下图所示逻辑电路进行分析。
XLC1A BU1A 74LS04DU2B74LS04D U3C 74LS04DU4A 74LS00D U6B 74LS00DU7B74LS10D U8A74LS10D5623478910分析如下 实验二 编码器、译码器电路仿真实验 一、实验目的(1)掌握编码器、译码器的工作原理。
(2)常见编码器、译码器的作用。
二、实验原理(1)编码是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含义。
能完成编码功能的电路统称为编码器。
(2)译码是编码的逆过程,将输入的每个二进制代码赋予的含义翻译出来,给出相应的输出信号。
三、实验内容 (1)、8-3线优先译码器(2)、1型冒险电路仿真实验V11kHz 5 VXSC1A BExt Trig++__+_U2A74LS04DU1A74LS08D(3)、多输入信号同时变化时的冒险电路V11MHz5 VXSC1A B Ext Trig++_ _+_U1A 74LS04DU2B74LS09DU3C74LS09DU4B74LS32DGNDVDD 5V(4)、多输入信号同时变化时的冒险消除电路V11MHz5 V XSC1A B Ext Trig++_ _+_U1A 74LS04DU2B74LS09DU3C74LS09DU4B74LS32DGNDVDD5VU5A74LS09DU6A74LS32D四、思考题如图3-5所示电路是否存在竞争冒险现象,若存在如何消除?U1A74LS04D U2A74LS02D U2B74LS02DU2C74LS02D2341567ABCF图表 1 思考题电路做出该电路所对应的卡诺图如下BC A 00 01 11 10 0 0 1 1 0 111因为卡诺图中填1的格所形成的卡诺图有两个相邻的圈相切,故可知电路存在竞争冒险的可能。
Multisim数字电路仿真实验报告
基于Multisim数字电路仿真实验一、实验目的1.掌握虚拟仪器库中关于测试数字电路仪器的使用方法,入网数字信号发生器和逻辑分析仪的使用。
2.进一步了解Multisim仿真软件基本操作和分析方法。
二、实验内容用数字信号发生器和逻辑分析仪测试74LS138译码器逻辑功能。
三、实验原理实验原理图如图所示:四、实验步骤1.在Multisim软件中选择逻辑分析仪,字发生器和74LS138译码器;2.数字信号发生器接138译码器地址端,逻辑分析仪接138译码器输出端。
并按规定连好译码器的其他端口。
3.点击字发生器,控制方式为循环,设置为加计数,频率设为1KHz,并设置显示为二进制;点击逻辑分析仪设置频率为1KHz。
相关设置如下图五、实验数据及结果逻辑分析仪显示图下图实验结果分析:由逻辑分析仪可以看到在同一个时序74LS138译码器的八个输出端口只有一个输出为低电平,其余为高电平.结合字发生器的输入,可知.在译码器的G1=1,G2A=0,G2B=0的情况下,输出与输入的关系如下表所示当G1=1,G2A=0,G2B=0中任何一个输入不满足时,八个输出都为1六、实验总结通过本次实验,对Multisim的基本操作方法有了一个简单的了解。
同时分析了38译码器的功能,结果与我们在数字电路中学到的结论完全一致。
实验二基于Multisim的仪器放大器设计一、实验目的1.掌握仪器放大器的实际方法;2.理解仪器放大器对共模信号的抑制能力;3.熟悉仪器放大器的调试方法;4.掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器、毫伏表、信号发生器等虚拟仪器的使用方法。
二、实验内容1.采用运算放大器设计并构建仪器放大器,具体指标为:(1)输入信号Ui=2mv时,要求输出电压信号Uo=0.4V,Avd=200,f=1KHz;(2)输入阻抗要求Ri》1MΩ2.用虚拟仪器库中关于测试模拟电路仪器,按设计指标进行调试;3.测量所构建的测量放大器的共模抑制比(选做)4.记录实验数据进行整理分析。
数电实验报告东大
一、实验目的1. 理解数字电路的基本组成和基本原理。
2. 掌握常用数字电路的分析和设计方法。
3. 提高动手实践能力,加深对数字电路理论知识的理解。
二、实验内容本次实验主要包含以下内容:1. 数字电路基础实验2. 组合逻辑电路实验3. 时序逻辑电路实验三、实验仪器与设备1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 计算器5. 实验指导书四、实验原理1. 数字电路基础实验:通过实验了解数字电路的基本组成和基本原理,包括逻辑门、编码器、译码器等。
2. 组合逻辑电路实验:通过实验掌握组合逻辑电路的分析和设计方法,包括加法器、编码器、译码器、数据选择器等。
3. 时序逻辑电路实验:通过实验掌握时序逻辑电路的分析和设计方法,包括触发器、计数器、寄存器等。
五、实验步骤1. 数字电路基础实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行逻辑门、编码器、译码器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
2. 组合逻辑电路实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行加法器、编码器、译码器、数据选择器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
3. 时序逻辑电路实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行触发器、计数器、寄存器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
六、实验结果与分析1. 数字电路基础实验- 通过实验,验证了逻辑门、编码器、译码器等电路的基本原理和功能。
- 实验结果符合理论预期,验证了数字电路的基本组成和基本原理。
2. 组合逻辑电路实验- 通过实验,掌握了组合逻辑电路的分析和设计方法。
- 实验结果符合理论预期,验证了组合逻辑电路的基本原理。
3. 时序逻辑电路实验- 通过实验,掌握了时序逻辑电路的分析和设计方法。
- 实验结果符合理论预期,验证了时序逻辑电路的基本原理。
数电实验报告
(1)加法器实现2位乘法电路原理?利用的是2位二进制乘法的展开式来设计电路的,先用与门做二进制的与运算,再把与结果高位对高地址,低位对低地址相加就可以设计出电路。
(2)4位可控加/减法电路控制模块关键是什么?关键模块在于BCD加法器,在利用补码进行累加计算的过程中需要修正电路。
(3)DACo832工作方式有哪些?直通型方式、单缓冲方式和双缓冲方式。
①当I1E为高电平,CS和WRI位高电平时,1E1信号是的8位输入数据锁存器有效,输入的数据存入输入锁存器。
当需要DA转换时,使WR2和XFER位高电平,1E2信号使得8位DA锁存器有效,将数据置入DA锁存器中,并进行DA转换,这是双缓冲工作方式。
②在DAC0832中,使两个锁存器中的一个常处于开通状态,只控制一个锁存器的锁存或者使两个锁存器同时工作,这是单缓冲工作方式。
③使两个锁存器完全处于开通状态,锁存器输出随数字变化而变化,称为直通工作方式。
(5)引入竞争与冒险现象,探究其产生原因。
在电路设计中使用多种逻辑门如:与非门、或非门等,将一个门电路多个输入端信号同时跳变,或者一个信号经由不同的路径传到同一个门的输入端致使信号到达的时间不同,从而在电路输出端产生尖峰脉冲,这种现象称为竞争一一冒险。
(6)测量输出信号失真方法有哪些?失真度是用一个未经放大器放大前的信号与放大后的信号作比较的差别,其单位为百分比,在这里表征一个信号偏离纯正弦信号的程度。
信号处理方法大致可分为两类:模拟法和数字化方法。
模拟法:指测量中直接应用模拟电路对信号处理测量失真度的方法。
基于模拟法的失真度测量仪由于前级电路有源器件的非线形,因此对小信号的测量不够准确。
具体包含基波抑制法和谐波分析法。
数字化方法:是指首先通过数据采集卡将被测信号量化,再对测量数据处理计算出失真度的测量方法。
按照量程分为一般失真度测量0.1%~100%、小失真度测量0.01%~30%和超低失真度测量0.001%-10%,按照自动化的程度可分为半自动失真度测量和自动失真度测量;信号处理方法大致可分为两类:(7)估算或测量【发挥部分】输入到输出的时间?ADC0809转换时间为130μs(时钟为SOOKHz时)。
数电项目实验报告(3篇)
第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。
2. 掌握常用数字电路的分析方法。
3. 培养动手能力和实验技能。
4. 提高对数字电路应用的认识。
二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。
本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。
四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。
(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。
(3)分析输出波形,验证逻辑门电路的正确性。
2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。
(3)分析输出波形,验证触发器电路的正确性。
3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。
(3)分析输出波形,验证计数器电路的正确性。
4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。
(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。
(3)分析输出波形,验证寄存器电路的正确性。
五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。
实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。
2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。
实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。
3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。
实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。
multisim仿真数电实验报告
实验报告课程名称:数字电子技术实验姓名:学号:专业:开课学期:指导教师:实验课安全知识须知1.须知1:规范着装。
为保证实验操作过程安全、避免实验过程中意外发生,学生禁止穿拖鞋进入实验室,女生尽量避免穿裙子参加实验。
2.须知2:实验前必须熟悉实验设备参数、掌握设备的技术性能以及操作规程。
3.须知3:实验时人体不可接触带电线路,接线或拆线都必须在切断电源的情况下进行。
4.须知4:学生独立完成接线或改接线路后必须经指导教师检查和允许,并使组内其他同学引起注意后方可接通电源。
实验中如设备发生故障,应立即切断电源,经查清问题和妥善处理故障后,才能继续进行实验。
5.须知5:接通电源前应先检查功率表及电流表的电流量程是否符合要求,有否短路回路存在,以免损坏仪表或电源。
特别提醒:实验过程中违反以上任一须知,需再次进行预习后方可再来参加实验;课程中违反三次及以上,直接重修。
实验报告撰写要求1.要求1:预习报告部分列出该次实验使用组件名称或者设备额定参数;绘制实验线路图,并注明仪表量程、电阻器阻值、电源端编号等。
绘制数据记录表格,并注明相关的实验环境参数与要求。
2.要求2:分析报告部分一方面参考思考题要求,对实验数据进行分析和整理,说明实验结果与理论是否符合;另一方面根据实测数据和在实验中观察和发现的问题,经过自己研究或分析讨论后写出的心得体会。
3.要求3:在数据处理中,曲线的绘制必须用坐标纸画出曲线,曲线要用曲线尺或曲线板连成光滑曲线,不在曲线上的点仍按实际数据标出其具体坐标。
4.要求4:本课程实验结束后,将各次的实验报告按要求装订,并在首页写上序号(实验课上签到表对应的序号)。
请班长按照序号排序,并在课程结束后按要求上交实验报告。
温馨提示:实验报告撰写过程中如遇预留空白不足,请在该页背面空白接续。
实验报告课程名称:数字电子技术实验实验 5 : multisim多位计数器仿真实验日期:年月日地点:实验台号:专业班级:学号:姓名:评分:教师评语:教师签字:日期:一、实验目的二、实验设备及元器件Multisim仿真洁面三、实验原理(简述实验原理,画出原理图)这一部分的实验主要涉及改变计数进制的问题,我分为以下几个部分预习一、首先需要明确各个芯片的计数最大进制 161系列为16进制,160系列的为10进制。
数电仿真实验报告 优先排队电路
数电仿真实验报告题目:用verilog中的if 语句设计一个优先排队电路,其框图如下:排队顺序:A=1 最高优先级B=1 次高优先级C=1 最低优先级要求输出端最多只能有一端为“1”,即只能是优先级较高的输入端所对应的输出端为“1”。
源程序:module vote ( a,b,c,clk,aout,bout,cout );input a,b,c;input clk;output aout,bout,cout;reg aout=0,bout=0,cout=0;always @(posedge clk) beginif(a)beginaout<=1;bout<=0;cout<=0;endelse if(!a&b)beginaout<=0;bout<=1;cout<=0;endelse if(!a&!b&c)beginaout<=0;bout<=0;cout<=1;endelse beginaout<=0;bout<=0;cout<=0;endendendmodule仿真结果:电路需要时钟信号,每次时钟上升沿进行判定,并且电路有延迟,对于数字电路来说,几ns 的延迟是正常的,输入a,b,c从000到111,可以看到,当a=1时,无论b,c为何值,aout=1,bout=0,cout=0,a=0且b=1时,无论c为何值,aout=0,bout=1,cout=0,a,b都为0时,c=1,则aout=0,bout=0,cout=1,a,b,c都为0时,aout=0,bout=0,cout=0。
数电实验实验报告
数字电路实验报告实验一 组合逻辑电路分析一.试验用集成电路引脚图74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一X12.5 VA BCD示灯:灯亮表示“1”,灯灭表示“0”ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平自拟表格并记录:2.实验二密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。
否则,报警信号为“1”,则接通警铃。
试分析密码锁的密码ABCD 是什么?ABCDABCD 接逻辑电平开关。
最简表达式为:X1=AB ’C ’D 密码为: 1001 表格为:三.实验体会:1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。
2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。
实验二组合逻辑实验(一)半加器和全加器一.实验目的1.熟悉用门电路设计组合电路的原理和方法步骤二.预习内容1.复习用门电路设计组合逻辑电路的原理和方法步骤。
2.复习二进制数的运算。
3. 用“与非门”设计半加器的逻辑图。
4. 完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。
5. 完成用“异或”门设计的3变量判奇电路的原理图。
三.元件参考依次为74LS283、74LS00、74LS51、74LS136其中74LS51:Y=(AB+CD )’,74LS136:Y=A ⊕B (OC 门) 四.实验内容1. 用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟)NOR2SC半加器全加器2.用异或门设计3变量判奇电路,要求变量中1的个数为奇数是,输出为1,否则为0.3变量判奇电路3.“74LS283”全加器逻辑功能测试测试结果填入下表中:五.实验体会:1.通过这次实验,掌握了熟悉半加器与全加器的逻辑功能2.这次实验的逻辑电路图比较复杂,涉及了异或门、与或非门、与非门三种逻辑门,在接线时应注意不要接错。
北邮 数电实验报告
北邮数电实验报告北邮数电实验报告数电实验是电子信息科学与技术专业的一门重要课程,通过实验可以帮助学生巩固理论知识,培养实践能力。
本次实验我们进行了基于数字逻辑电路的设计与实现,探索了数字电路的原理和应用。
以下是对本次实验的总结和分析。
1. 实验目的本次实验的主要目的是学习数字逻辑电路的设计与实现,了解数字电路的基本原理和应用。
通过实验,我们可以熟悉数字电路的搭建过程,掌握数字电路的设计方法和测试技巧。
2. 实验原理本次实验主要涉及到的数字逻辑电路有与门、或门、非门、异或门等。
这些逻辑门可以通过逻辑运算实现各种功能,如加法器、减法器、比较器等。
我们需要根据实验要求,设计并搭建相应的数字电路,然后通过示波器等仪器进行测试,验证电路的正确性。
3. 实验步骤首先,我们根据实验要求,设计了一个4位全加器电路。
通过逻辑门的组合,实现了4位二进制数的加法运算。
然后,我们搭建了一个4位比较器电路,用于比较两个4位二进制数的大小关系。
最后,我们设计了一个4位减法器电路,实现了4位二进制数的减法运算。
4. 实验结果通过实验,我们成功搭建了4位全加器、4位比较器和4位减法器电路,并进行了测试。
实验结果表明,我们设计的电路能够正确地完成加法、比较和减法运算,符合预期的逻辑规则。
5. 实验总结通过本次实验,我们深入了解了数字逻辑电路的设计与实现过程。
我们学会了使用逻辑门进行电路设计,并通过实验验证了电路的正确性。
实验过程中,我们也遇到了一些问题,如电路连接错误、示波器读数不准确等。
但通过仔细分析和调试,我们最终解决了这些问题,并取得了满意的实验结果。
6. 实验感想数电实验是一门非常重要的实践课程,通过实验我们不仅巩固了理论知识,还培养了实践能力和解决问题的能力。
在实验过程中,我们需要细心观察、仔细分析,并灵活运用所学的知识。
实验不仅考验了我们的动手能力,还锻炼了我们的思维能力和团队合作精神。
7. 实验的意义数电实验的意义在于将理论知识与实际应用相结合,帮助我们更好地理解和掌握数字电路的原理和应用。
数电仿真实验报告_2008302540289_修改
诉讼数电仿真实验报告实验一组合逻辑电路设计与分析一、实验目的:(1)学会并掌握组合逻辑电路的特点(2)利用逻辑转换仪对组合逻辑电路进行分析与设计二、实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。
根据电路确定功能,是分析组合逻辑电路的过程,一般按图1—1所示步骤进行分析。
图1—1 组合逻辑电路的分析步骤根据要求求解电路,是设计组合逻辑电路的过程,一般按图1—2所示步骤进行。
图1—2 组合逻辑电路的设计步骤逻辑转换仪是在Multisim 软件中常用的数字逻辑电路设计和分析的仪器使用方便、简洁。
逻辑转换仪的图标和面板如图1—3所示。
三、实验内容:(1) 利用逻辑转换仪对已知逻辑电路进行分析。
经分析得到的真值表和表达式:在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出简化表达式后,得到如图1-5所示结果。
观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D中1的个数为偶数时,输出为1。
因此这是一个四位输入信号的奇偶校验电路。
(2)根据要求利用逻辑转换仪进行逻辑电路的设计。
a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾探测器。
为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。
b.在逻辑转换仪面板上根据下列分析出真值表如图1-6所示:由于探测器发出的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。
因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F为报警控制电路的输出。
(3)在逻辑转换仪面板上单击由真值表到处简化表达式的按钮后得到如图1-7所示的最简化表达式。
(4)在图1-8的基础上单击由逻辑表达式得到逻辑电路的按钮后得到如图1-8所示的逻辑电路。
数电综合实验报告(3篇)
第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。
2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。
3. 通过综合实验,培养团队合作精神和实践操作能力。
二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。
2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。
3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。
三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。
(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。
(3)使用ModelSim软件对加法器进行仿真,验证其功能。
2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。
(2)使用Verilog HDL语言编写代码,实现4位计数器。
(3)使用ModelSim软件对计数器进行仿真,验证其功能。
3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。
(2)使用Verilog HDL语言编写代码,实现数字时钟功能。
(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。
四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。
2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。
3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。
五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。
2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。
3. 培养了团队合作精神和实践操作能力。
六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。
2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。
数电仿真Modelsim设计实验报告-Verilog-HDL语言
¥《Verilog HDL程序设计与仿真实验报告》1、实验名称:Verilog HDL程序设计与仿真实验2、实验设计要求以及内容:利用Verilog HDL语言和描述下列芯片的功能,编写激励测试程序并在Modelsim软件中仿真运行、记录相关波形。
芯片包括:CD4532、74X138、74HC4511、74HC151、74HC85、74HC283、74HC194、74LVC161。
3、实验软件:Modelsim软件。
4、?5、芯片功能与真值表:!74HC85:4位数值比较器:、74HC283:4位二进制全加器:6、实验具体设计:CD4532-8位优先编码器:模块设计代码:moduleCD4532(EI,I,Y,GS,EO);I(EI_in),.I(D_in),.Y(Q_result),.GS(GS_result),.EO(EO_result));、endmodule74X138-3 线-8线译码器:模块设计代码:module _74X138(A,EN,Y);(A_in),.EN(EI_in),.Y(Y_result));endmodule74HC4511-七段显示译码器:《模块设计代码:module _74HC4511(D,LE,BL,LT,a,b,c,d,e,f,g);reg F000,F001,F002,F110,F111,F112,F220,F221,F222,F330,F331,F332;//中间节点,依次对应Ai=Bi,Ai<Bi,Ai>为位数(0~3)always@(A,B,I0,I1,I2)beginif(A[3]>B[3])//比较第4位begin F330=0; F331=0; F332=1; endelse if(A[3]<B[3])begin F330=0; F331=1; F332=0; end)elsebegin F330=1; F331=0; F332=0; endif(A[2]>B[2])//比较第3位begin F220=0; F221=0; F222=1; endelse if(A[2]<B[2])begin F220=0; F221=1; F222=0; endelsebegin F220=1; F221=0; F222=0; end*if(A[1]>B[1])//比较第2位begin F110=0; F111=0; F112=1; endelse if(A[1]<B[1])begin F110=0; F111=1; F112=0; endelsebegin F110=1; F111=0; F112=0; endif(A[0]>B[0])//比较第1位begin F000=0; F001=0; F002=1; end%else if(A[0]<B[0])begin F000=0; F001=1; F002=0; endelsebegin F000=1; F001=0; F002=0; end//输出结果如下if((F000&&F110&&F220&&F330)&&I0)//低位进位信号出错begin Fab2=0; Fab1=0; Fab0=1; endelse if((F000&&F110&&F220&&F330)&&(~I0&&I1&&I2))//低位进位信号出错}begin Fab2=0; Fab1=0; Fab0=0; endelse if((F000&&F110&&F220&&F330)&&(~I0&&~I1&&~I2))//低位进位信号出错begin Fab2=1; Fab1=1; Fab0=0; endelse//低位进位信号正常beginFab2=F332||F330&&F222||F330&&F220&&F112||F330&&F220&&F110&&F002||F330&&F220&&F110&&F000&&I2;Fab1=F331||F330&&F221||F330&&F220&&F111||F330&&F220&&F110&&F001||F330&&F220&&F110&&F000&&I1;Fab0=F330&&F220&&F110&&F000&&I0;end>endendmodule对应testbench设计代码:`timescale 1ns/1nsmodule _74HC85_tb;reg [3:0] A_in,B_in;reg I0_in,I1_in,I2_in;wire Fab0_result,Fab1_result,Fab2_result;¥initialbeginI0_in=0;I1_in=0;I2_in=0;A_in=4'b1010;B_in=4'b0111;#10 begin I0_in=1; I1_in=0; I2_in=0; end//低位A=B、#10 begin I0_in=0; I1_in=1; I2_in=0; end//低位A<B#10 begin I0_in=0; I1_in=0; I2_in=1; end//低位A>B#10 begin I0_in=0; I1_in=0; I2_in=0; //低位归位A_in=4'b0100; B_in=4'b1001; end#10 begin I0_in=1; I1_in=0; I2_in=0; end//低位A=B#10 begin I0_in=0; I1_in=1; I2_in=0; end//低位A<B#10 begin I0_in=0; I1_in=0; I2_in=1; end//低位A>B#10 begin I0_in=0; I1_in=0; I2_in=0; //低位归位~A_in=4'b0010; B_in=4'b0010; end#10 begin I0_in=1; I1_in=0; I2_in=0; end//低位A=B#10 begin I0_in=0; I1_in=1; I2_in=0; end//低位A<B#10 begin I0_in=0; I1_in=0; I2_in=1; end//低位A>B#10 $stop();end_74HC85 U1(A_in,B_in,I0_in,I1_in,I2_in,Fab0_result,Fab1_result,Fab2_result); endmodule—74HC283-4位二进制全加器:模块设计代码:module _74HC283(A,B,Ci,Co,S);//4位二进制全加器input Ci;//低位进位信号input [3:0] A,B;//输入信号output reg [3:0] S;//输出结果output reg Co;//高位进位信号Co=C[3]>reg [3:0] C,G,P;integer k;always@(A,B,Ci)beginfor(k=0;k<4;k=k+1)beginG[k]=A[k]&&B[k];P[k]=A[k]&&~B[k]||~A[k]&&B[k];]endC[0]=G[0]||P[0]&&Ci;C[1]=G[1]||P[1]&&C[0];C[2]=G[2]||P[2]&&C[1];C[3]=G[3]||P[3]&&C[2];S[0]=P[0]&&~Ci||~P[0]&&Ci;S[1]=P[1]&&~C[0]||~P[1]&&C[0];S[2]=P[2]&&~C[1]||~P[2]&&C[1];~S[3]=P[3]&&~C[2]||~P[3]&&C[2];Co=C[3];endendmodule对应testbench设计代码:`timescale 1ns/1nsmodule _74HC283_tb;reg [3:0] A_in,B_in;【reg Ci_in;//低位进位信号wire [3:0] S_out;//本位相加结果wire Co_out;//高位进位信号initialbeginCi_in=0;A_in=4'b1010;B_in=4'b0111;(#10 begin A_in=4'b0011; B_in=4'b1111; end#10 begin A_in=4'b0101; B_in=4'b1001; end#10 begin A_in=4'b1111; B_in=4'b0010; end #10 $stop();endalways #5beginCi_in=~Ci_in;//循环改变低位进位信号{end_74HC283 U1(A_in,B_in,Ci_in,Co_out,S_out);endmodule74HC194-4位双向移位寄存器:模块设计代码:module _74HC194(S0,S1,Dsl,Dsr,CP,CR,D,Q);//4位双向移位寄存器_P339 input S0,S1;//输入端口,input Dsr,Dsl;//串行数据输入input CP,CR;//时钟和清零input [3:0] D;//并行数据输入output reg [3:0] Q;//输出结果always@(posedge CP, negedge CR)beginif(~CR) Q<=4'b0000;//异步清零else<case({S1,S0})2'b00:Q<=Q;//输出保持不变2'b01:Q<={Q[2:0],Dsr};//右移,低位移向高位2'b10:Q<={Dsl,Q[3:1]};//左移,高位移向低位2'b11:Q<=D;//并行置数endcaseendendmodule?对应testbench设计代码:`timescale 1ns/1nsmodule _74HC194_tb;reg [3:0] D_in;//并行数据输入reg S0_in,S1_in;//控制信号输入端口reg Dsr_in,Dsl_in;//串行数据输入reg CP_in,CR_in;//时钟和清零,清零为低电平有效wire [3:0] Q_out;//输出结果¥initialbeginCP_in=1;CR_in=1;S0_in=1;S1_in=1;//开始先输入串行数据Dsr_in=0;Dsl_in=0;&D_in=4'b0110;#243 CR_in=~CR_in;#20 $stop();endalways #5beginCP_in=~CP_in;//周期为10ns的时钟信号end)always #15//循环调整控制输入信号,周期60nsbegincase({S1_in,S0_in})2'b00:begin S1_in=0; S0_in=1; end2'b01:begin S1_in=1; S0_in=0; end2'b10:begin S1_in=1; S0_in=1; end2'b11:begin S1_in=0; S0_in=0; endendcase(endalways #10//循环调整串行数据输入信号,周期40nsbegincase({Dsr_in,Dsl_in})2'b00:begin Dsr_in=0; Dsl_in=1; end2'b01:begin Dsr_in=1; Dsl_in=0; end2'b10:begin Dsr_in=1; Dsl_in=1; end2'b11:begin Dsr_in=0; Dsl_in=0; end|endcaseend_74HC194 U1(S0_in,S1_in,Dsl_in,Dsr_in,CP_in,CR_in,D_in,Q_out);endmodule74LVC161-4位二进制同步计数器:模块设计代码:module _74LVC161(CEP,CET,PE,CP,CR,D,TC,Q);//4位二进制同步计数器_P340 ]input CEP,CET,PE,CP,CR;//输入端口input [3:0] D;//并行数据输入output TC;//进位输出output reg [3:0] Q;//输出结果wire CE;assign CE=CEP&CET;//CE=1时计数器计数assign TC=CET&PE&(Q==4'b1111);//产生进位输出信号always@(posedge CP, negedge CR)beginif(~CR) Q<=4'b0000;//异步清零else if(~PE) Q<=D;//PE=0,同步输入数据else if(CE)beginif((TC==1)&&(Q==4'b1111))Q<=4'b0000;//计数器计满后自动归零elseQ<=Q+1'b1;//加1计数endelse Q<=Q;//保持输出不变endendmodule对应testbench设计代码:`timescale 1ns/1nsmodule _74LVC161_tb;reg CEP_in,CET_in,PE_in,CP_in,CR_in;//输入端口reg [3:0] D_in;//并行数据输入wire TC_out;//进位输出wire [3:0] Q_out;//输出结果initialbeginCEP_in=0;CET_in=1;PE_in=0;CP_in=1;CR_in=1;D_in=4'b1101;#10 begin PE_in=1;D_in=4'b1001; end#20 begin CEP_in=1; CET_in=1; end#220 begin PE_in=0; end#23 CR_in=~CR_in;#20 $stop();endalways #5beginCP_in=~CP_in;//周期为10ns的时钟信号end_74LVC161 U1(CEP_in,CET_in,PE_in,CP_in,CR_in,D_in,TC_out,Q_out);endmodule7、输出仿真波形以及相关数据:CD4532-8位优先编码器:以下波形由上至下依次是:D_in, EI_in, Q_result, GS_result, EO_result;74X138-3 线-8线译码器:以下波形由上至下依次是:A_in, EI_in, Y_result;74HC4511-七段显示译码器:以下波形由上至下依次是:D_in, LE_in, LT_in, A, B, C, D, E, F, G;74HC151-八选一数据选择器:以下波形由上至下依次是:S_in, D_in, E_in, Y0_result, Y1_result;74HC85-4位数值比较器:以下波形由上至下依次是:A_in, B_in, I0_in, I1_in, I2_in, Fab0_result, Fab1_result, Fab2_result;74HC283-4位二进制全加器:以下波形由上至下依次是:A_in, B_in, S_out, Co_out;74HC194-4位双向移位寄存器:以下波形由上至下依次是:D_in, S0_in, S1_in,Dsr_in, Dsl_in, CP_in, CR_in, Q_out;74LVC161-4位二进制同步计数器:以下波形由上至下依次是:CEP_in, CET_in, PE_in, CP_in, CR_in, D_in, T_out, Q_out;8、仿真结果分析:仿真输出波形符合预期,与芯片的功能表描述的功能相符。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Y2
Y3
Y4
Y5
Y6
Y7
1
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
0
1
1
1
1
1
1
1
0
0
0
1
0
1
1
0
1
1
1
1
1
1
0
0
0
1
1
1
1
1
0
1
1
1
1
1
0
0
1
0
0
1
1
1
1
0
1
1
1
1
0
0
1
0
1
1
1
1
1
1
0
1
1
1
0
0
1
1
0
1
1
1
1
1
1
0
1
1
0
0
1
1
1
1
1
1
1
1
1
1
0
四、实验体会
通过此次试验,我对编码器和译码器的工作原理和应用有了更进一步的了解和掌握。同时对Multisim软件的使用更加熟练了。
1.利用逻辑转换仪对已知逻辑电路进行分析。
(1)按图1-1连接电路。
图1-1 待分析的逻辑电路
(2)通过逻辑转换仪,得到下图1-2所示结果。
由图可看到,所得表达式为:输出为Y,
图1-5 经分析得到的真值表和表达式
(3)分析电路。观察真值表,我们发现:当输入变量A、B、C、D中1的个数为奇数时,输出为0;当其为偶数时,输出为1。因此,我们说,这是一个四输入的奇偶校验电路。
译码即是编码的逆过程,即将输入的每个二进制代码赋予的含意“翻译”过来,给出相应的输出信号。能够完成译码功能的电路叫做译码器。74LS138是一种3线—8线译码器,如下图所示。该译码器输入高电平有效,输出低电平有效。
图2-1 编码器74LS148D和译码器74LS138D
三、实验电路及步骤
1.8--3线优先编码器具体电路如图2-2所示
(2)切换3个单刀双掷开关(A0—A2)进行仿真实验,得到表2-2所示结果。
其中:输入端中的“1”表示接高电平,“0”表示接低电平,“╳”表示接高、低电平均可。输出端中的“1”表示探测器灯亮,“0”表示探测器灯灭。该译码器输入为高电平有效、输出为低电平有效。
输入端
输出端
G1
G2A
G2B
A2
A1
A0
Y0
(1)按图2-2所示电路连好线路。
利用9个单刀双掷开关(J0——J8)切换8位信号输入端和选通输入端(~E1)输入的高低电平状态。利用5个探测器(x1——x5)观察3位信号输出端、选通输出端、优先标志端输出信号的高低电平状态(探测器亮表示输出高电平“1”,灭表示输出低电平“0”)。
图2-2 8-3线有限编码器仿真电路
(4)消除方法。
和实验1中方法相似,因为从理论上分析,该电路的输出应当恒为“0”,故而可增加一相与相,以改进电路,即Y=A·A’·0。应该来说,这个电路也只是为了说明“1”型冒险而设计的,实际中不会只有一个变量,因而相与项可用其余的变量来组合完成,同样不会让一个输出结果和“0”相与。
3.多输入信号同时变化时产生的冒险电路仿真实验
(3)该逻辑电路的输出逻辑表达式为Y=AB+A’C,显然,当B=C=1时,输出即变为了Y=A+A’,这正是我们前面讨论的“0”型冒险电路,这是从理论上分析的。实验的结果也说明了这个问题:在输入脉冲的每一个下降沿处,输出均有一个负的窄脉冲,这也正与分实验1中所得的输出结果是一致的。
图3-7 图3-5所示电路的输处波形
(1)按图2-3所示电路进行接线。
利用3个单刀双掷开关(J1——J3)切换二路输入端输入的高低电平状态。利用8个探测器(x0——x7)观察8路输出端以信号的高低电平状态(探测器亮表示输出高电平“1”,灭表示输出低电平“0”)。使能端G1接高电平,G2A接低电平,G2B接低电平
图2-3 3—08线译码器仿真电路
四、思考题
1.设计一个4人表决器。即如果3人或3人以上同意,则通过;反之,则被否决。用与非门实现。
答:根据分析得到真值表如图1-6,并得到表达式。
图1-6经分析得到的真值表和表达式
生成的信号电路为下图1-7.
图1-7 生成的4人表决器电路
2.利用逻辑转换仪对图1-8所示逻辑电路进行分析。
图1-8 待分析的逻辑电路
利用卡诺图可以判断组合逻辑电路是否可能存在竞争冒险现象。先作出对应逻辑电路的卡诺图,若卡诺图中填“1”的小格子所形成的卡诺图中有两个相邻的圈相切,则该电路存在竞争冒险的可能性。
显然,由竞争进而导致冒险的出现是我们所不希望看到的,因为冒险会产生输出的错误动作,所以,必须杜绝竞争冒险现象的产生。常用的消除竞争冒险的方法有下面四种:加取样脉冲;修改逻辑设计,增加冗余项;在输出端接滤波电容;加封锁脉冲等。
(2)切换9个单刀(J1-J8)进行仿真实验,将结果填入表2-1中。
其中:输入端中的“1”表示接高电平,“0”表示接低电平,“╳”表示接高、低电平均可。输出端中的“1”表示探测器灯亮,“0”表示探测器灯灭。该编码器输入、输出均是低电平有效。
输入端
输出端
~EI
பைடு நூலகம்Y7
Y6
Y5
Y4
Y3
Y2
Y1
Y0
A2
A1
A0
实验三竞争冒险电路仿真实验实验
一、实验目的
1.掌握组合逻辑电路产生竞争冒险的原因;
2.学会判断竞争冒险是否可能存在的方法;
3.了解常用消除竞争冒险的方法。
二、实验原理
当一个逻辑门的两个输入端的信号同时向相反的方向变化,而变化的时间有差异的现象,称为竞争。在组合逻辑电路中,门电路存在有传输延时时间和信号状态变化的速度不一致等原因,因而导致信号的变化出现快慢的差异。由竞争而可能产生输出干扰脉冲的现象,称为冒险。所以,有竞争不一定有冒险,但有冒险就一定有竞争。
对于组合逻辑电路的设计,一般遵循下面原则,由所给题目抽象出便于分析设计的问题,通过这些问题,分析推导出真值表,由此归纳出其逻辑表达式,再对其化简变换,最终得到所需逻辑图,完成了组合逻辑电路的设计过程。
逻辑转换仪是在Multisim软件中常用的数字逻辑电路设计和分析的仪器,使用方便、简洁。
三、实验电路及步骤
数字电子技术仿真
实验报告
班级:
姓名:
学号:
实验一 组合逻辑电路设计与分析
一、实验目的
1.掌握组合逻辑电路的特点;
2.利用逻辑转换仪对组合逻辑电路进行分析与设计。
二、实验原理
组合逻辑电路是一种重要的、也是基本的数字逻辑电路,其特点是:任意时刻电路的输出仅取决于同一时刻输入信号的取值组合。
对于给定的逻辑电路图,我们可以先由此推导出逻辑表达式,化简后,由所得最简表达式列出真值表,在此基础上分析确定电路的功能,这也即是逻辑电路的分析过程。
GS
EO
1
╳
╳
╳
╳
╳
╳
╳
╳
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
1
0
0
1
1
1
1
1
1
1
0
1
1
1
0
1
0
1
1
1
1
1
1
0
╳
1
1
0
0
1
0
1
1
1
1
1
0
╳
╳
1
0
1
0
1
0
1
1
1
1
0
╳
╳
╳
1
0
0
0
1
0
1
1
1
0
╳
╳
╳
╳
0
1
1
0
1
0
1
1
0
╳
╳
╳
╳
╳
0
1
0
0
1
0
1
0
╳
╳
╳
╳
╳
╳
0
0
1
0
1
0
0
╳
╳
╳
╳
╳
╳
╳
0
0
0
0
1
2.3—8线译码器实验步骤
(2)进行实验仿真,并记录结果如图3-4所示。
图3-4 图3-3电路的输入输出波形图
(3)从图3-4中示波器上的输出波形,我们可以看到,在输入脉冲源的每一个上升沿处,输出都有一个尖脉冲。现分析其原因如下,该电路的逻辑功能可表示为Y=A·A’=0,这也只是从逻辑功能上来判断。但是,实际中的A’是输入通过一个非门后实现的,而每一个实际的逻辑门在传输时都会存在一定的延时,所以,当A由“0”变为“1”时,A’由于变化滞后而仍保持一小段时间的“1”,这样在这一小段时间里,输出出现了一个不应当出现的“1”(即高电平、正窄脉冲),此亦常说的“1”型冒险。
2.掌握编码器、译码器的常见应用。
二、实验原理
所谓编码,是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含意,来表示一个数,或是一条指令等信息。例如,用二进制数表示十进制数叫作二——十进制编码。具体有8421BCD码、5421BCD码、余3码等码制。