计算机硬件课程设计电子琴设计说明
计算机硬件课程设计 电子琴
计算机硬件技术基础课程设计电子琴小组成员及分工:XXXXXXXX指导老师:唐丹班级:自动化03班重庆大学自动化学院目录目录 (2)摘要 (3)1.设计目的与意义 (4)1.1设计目的 (4)1.2设计意义 (4)2.课程设计的任务和思路 (5)2.1设计任务 (5)2.2设计思路 (5)3. 硬件工作原理 (8)3.1 8255工作原理 (8)3.2 8254工作原理 (9)3.3 扬声器模块工作原理 (10)3.4三色LED数显 (11)3.5 4X4非编码键盘 (12)4. 功能说明 (13)4.1 总体功能说明 (13)4.1.1主程序说明 (13)4.2 弹奏功能说明 (14)4.2.1 音符选择 (15)4.2.2 音阶选择 (15)4.2.3 弹奏实现 (15)4.2.4 流程图 (15)4.3 回放功能 (16)4.4 歌曲播放功能 (18)4.5 显示功能 (19)5. 组员心得体会 (20)心得体会1:.......................................................................................... 错误!未定义书签。
心得体会2:.......................................................................................... 错误!未定义书签。
心得体会3:.......................................................................................... 错误!未定义书签。
心得体会4:.......................................................................................... 错误!未定义书签。
微机简易电子琴课程设计
微机简易电子琴课程设计一、课程目标知识目标:1. 学生能理解电子琴的基本原理,掌握其结构与功能;2. 学生能掌握微机与电子琴连接的简易方法;3. 学生能了解并运用基本的音乐理论知识,如音符、音阶、和弦等。
技能目标:1. 学生能通过编程软件控制电子琴演奏简单曲目;2. 学生能运用所学的电子琴知识,创作出自己的音乐作品;3. 学生能提高动手实践能力,通过组装、调试电子琴,培养解决问题的能力。
情感态度价值观目标:1. 学生培养对音乐和电子制作的兴趣,提高创新意识和动手能力;2. 学生通过团队协作,培养沟通、分享、合作的良好品质;3. 学生在学习过程中,体会科技与艺术的结合,提升对美的鉴赏能力。
课程性质:本课程为实践性较强的综合课程,结合信息技术和音乐艺术,让学生在动手实践中学习电子琴知识。
学生特点:六年级学生具有一定的信息技术基础,好奇心强,喜欢动手操作,同时具备一定的音乐素养。
教学要求:教师应关注学生的个体差异,以学生为主体,引导他们主动探究、合作学习,注重培养学生的动手能力、创新意识和审美情趣。
通过课程目标的实现,使学生达到预期的学习成果,为后续学习打下坚实基础。
二、教学内容1. 电子琴基础知识:介绍电子琴的原理、结构与功能,包括键盘、音源、音色、音量控制等组成部分。
- 教材章节:第二章“电子琴的原理与结构”- 内容列举:电子琴的原理、键盘布局、音色种类、音量控制方法等。
2. 微机与电子琴连接:讲解如何使用编程软件(如Scratch)与电子琴进行连接,实现简易控制。
- 教材章节:第三章“微机与电子琴的连接方法”- 内容列举:编程软件的安装与使用、电子琴与计算机的连接方式、基本指令编写。
3. 音乐理论知识:学习基本的音乐理论知识,如音符、音阶、和弦等,为创作和演奏打下基础。
- 教材章节:第四章“音乐理论基础”- 内容列举:音符、音名、音阶、和弦的识别与运用。
4. 电子琴演奏与创作:通过实践,学会演奏简单曲目,并尝试创作自己的音乐作品。
单片机电子琴的设计
单片机电子琴的设计单片机电子琴的设计随着科技的发展,单片机技术在电子领域的应用已经非常广泛。
其中,单片机电子琴是一种非常受欢迎的DIY 电子产品。
通过使用单片机,我们可以实现各种各样的功能,比如手风琴、钢琴、鼓等模拟音乐乐器。
那么,本文将详细讲解单片机电子琴的设计方法和实现过程。
一、硬件设计1.主板设计在单片机电子琴的设计中,主板是最核心的部件,因为它能够控制整个电子琴的运转。
主板设计所需要的元器件如下:(1)单片机:根据需要,选择一款传统型单片机或者ARM 处理器。
(2)输入输出模块:据需要选择合适的硬件平台,比如DAC/ADC、芯片集成的PWM 或外加的DAC 等。
(3)显示模块:可以选用LCD 模块或其它显示模块。
(4)驱动模块:选择一款合适的驱动模块,比如H 桥驱动器、音频功率放大器等。
2.键盘设计键盘设计是单片机电子琴中最为重要的元部件,因为它是与用户进行交互的部分。
键盘设计可能有不同的方法,但是本文所展示的方法采用的是与传统钢琴相似的电容式设计方式。
电容式键盘设计思路是这样的:在钢琴键盘下方安装一组与钢琴键盘平行的电容板。
当按下钢琴键时,会压缩键盘下方的电容板,导致电容板之间的电容值发生变化,这样就可以识别每个键位的编号。
作为键盘电容板有很多种选择,但选择正确的条件是符合设计条件。
在这里,我们用金属箔板作为电容板,每个键位产生的电容值被电路板上面的片式电容器取样。
所以,我们使用红外线LED 与光敏二极管来驱动键盘,金属箔板放在二者之间。
在不按键的情况下,光敏二极管可以检测到被金属箔板反射的红外线,导致电容板上的电容值稳定。
当按下键时,电容板之间的电容值发生变化,此时光敏二极管检测到的红外线信号也将会变化,通过这个变化可以确定该键是否被按下。
3.音频输出在单片机电子琴的设计中,音频输出也是非常重要的。
音频输出通常使用功率放大器和喇叭来完成,我们也可以通过DAC/ADC 或PWM 来实现音频输出。
电子琴详细设计说明书(附程序及设计过程)
《微型计算机系统》课程设计说明书专业名称:班级:学号:姓名:指导教师:日期:目录一、设计的目的和意义 (1)二、设计原理及规模 (2)三、正文………………………………………………………四、结论………………………………………………………五、参考书目…………………………………………………六、附录………………………………………………………一、设计的目的:本设计主要是通过对电子琴主体部分的电路进行模仿设计,达到电子琴固有的基本功能,故叫简易电子琴。
设计运用单片机的相关知识,通过单片机的汇编语言进行程序,实现简单的功能,发出不同音调的音阶节拍。
二、设计原理:基于单片机的程序执行与分析的功能及应用,利用端口的低电平触发和无源蜂鸣器方波鸣响原理,设计按键、程序、连接方式,实现按键、信号和发音的顺序执行。
三、正文(一)硬件部分1、系统框图2、各部分元器件的确定 1)我们组选择了13个按键,因为13个按键能发出13个音阶,其中低音3个分别为567,中音7个分别为1234567,高音3个123,这样产生的音阶范围比较广,能够弹奏一般程度上的歌曲。
端口及含义初状态 动状态 P3端口 1111 1111 0FFH xxxx xxxx P0端口 1111 1111 0FFH 1xxx xxxxP3 P3.7 P3.6 P3.5 P3.4 P3.3 P3.2 P3.1 P3.0蜂鸣器 低5 中1 低6 中2 低7 中3 中4单 片 机蜂 鸣 器键 盘P0 P0.7 P0.6 P0.5 P0.4 P0.3 P0.2 P0.1 P0.0 空空高2 中5 中6 高1 中7 高3说明:(与实物对应的按键)复位键中1 中2 中3 中4 中5 中6 中7低5 低6 低7 高1 高2 高32)选用AT89C51单片机3)选用无源蜂鸣器,矩形方波触发原理,方波周期决定音色,周期循环次数决定接拍。
(二)软件部分(一)音调问题:1、音调有声音的频率确定。
微机课程设计电子琴PC电子琴
微机课程设计电子琴PC电子琴随着科技的不断发展,计算机及其相关技术也越来越成熟,而电子琴作为新生代乐器之一,在音乐爱好者中的影响力也越来越大。
在现代计算机科学教育中,微机课程设计已成为了一门必修课程。
在这门课程中,经常会涉及到基于现代计算机技术开发的各种硬件设备和应用,如电子琴PC电子琴等。
本文将从微机课程设计的角度来探讨电子琴PC电子琴的设计及其相关知识。
一、电子琴PC电子琴介绍电子琴是一种使用电子合成器代替传统的星簧式钢琴音器的乐器。
与传统的钢琴类乐器相比,电子琴具有音色可调、体积小、携带方便、售价低廉等优点。
而PC电子琴是电子琴的进化版,它将传统的硬件键盘替换成电脑键盘或数字板键盘,使其独立于硬件设备,减少了设备成本,提高了适用性。
二、微机课程设计电子琴PC电子琴从微机课程设计的角度来看,电子琴PC电子琴的设计涉及到许多计算机技术和主题,主要包括以下几个方面。
1.控制硬件设备在电子琴PC电子琴的设计中,控制硬件设备是设计的关键,它涉及到计算机的外部设备输入和输出。
硬件设备可以分为两个主要部分:电子键盘和音频输出。
电子键盘需要与PC机连接,以便从PC键盘或数字板键盘接收输入。
而音频输出则需要外部音频音箱,在电源管理方面还需要考虑到如何控制音频通道的开关。
2.软件应用训练除了硬件设备控制,软件应用训练也是微机课程设计电子琴PC电子琴的重点之一。
软件应用包括但不限于:音频合成、音频处理、音频存储和文件读取。
在电子琴PC电子琴的设计中,电子合成器是由程序模拟的,我们必须开发算法来合成特定的音频信号。
3.人机交互电子琴PC电子琴的使用需要用户与计算机之间的交互,因此人机交互也是微机课程设计电子琴PC电子琴设计的核心问题。
如何设计用户友好的交互界面是一个需要优先考虑的问题,如何使用鼠标、数字板键盘或普通的计算机键盘进行控制和调整。
4.性能测试在设计电子琴PC电子琴的过程中,应该进行多次性能测试以确保它能够稳定地运行。
简易电子琴的设计说明
题目: 简易电子琴的设计初始条件:1.运用所学的微机原理和接口技术知识;2.微机原理和接口技术实验室的实验箱设备。
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)使用汇编语言设计一个能够用键盘运行的电子琴,(实现一个以上功能):1.弹奏:用户每按一个键盘琴键就弹奏相应的音符;2.演奏:按下键盘上某一个指定的建后自动弹奏预存琴谱功能;3.变调:按下键盘上某一指定键后,再弹奏和演奏时琴键相应的音符频率变高;按下另一指定键后,再弹奏和演奏时琴键相应的音符频率变低;4.严格按照课程设计说明书要求撰写课程设计说明书。
摘要随着电子技术的发展,电子琴很为常见,硬件的设计很费人力与物力,因此软件的应用得到了很好的应用,这个简易电子琴设计采用了软硬件结合的方法完成了任务要求。
应用了8255和8253的芯片,利用CPU,8086达到软硬件结合,从而实现电子琴的弹奏和演奏两种方式的应用。
可以随心所欲控制电子琴是弹奏还是自动演奏。
此电子琴程序实现了:1.弹奏:用户每按一个键盘琴键就弹奏相应的音符;2.演奏:按下键盘上某一个指定的建后自动弹奏预存琴谱功能。
k0为控制键:高电平时自动演奏。
打到低电平时停止演奏。
低电平时可弹奏,K1,K2,K3,K4,K5,K6,K7分别为1,2,3,4,5,6,7目录………………………………………………………………………………………………前言第一章电子琴的设计要求和使用器件1.1 初始条件1.2 电子琴要完成的主要任务1.3 电子琴课设的使用器件1.4 设计方案的确定第二章电子琴的硬件设计2.1 电子琴的硬件框图2.2 8255芯片的资料2.3 8253芯片的资料第三章电子琴的软件设计3.1 程序流程图3.2 发音程序3.3 延时程序3.4 停止发音程序3.5 整体的软件汇编程序第四章电子琴的调试和设计体会4.1 硬件调试4.2 软件调试4.3 设计体会第五章附录5.1 参考文献5.2 乐谱5.3 成绩评定表前言随着电子技术的发展,计算机在现代科学技术的发展中起着越来越重要的作用。
硬件电子琴电路模块方案设计
硬件电子琴电路模块方案设计一、引言在现代电子音乐和音乐教学中,电子琴作为一种重要的乐器,其电路设计模块方案对于音质和功能的实现至关重要。
本文将针对硬件电子琴的电路模块进行设计方案的探讨和分析。
二、电子琴基本原理电子琴是通过触摸电容器的方式来产生声音的电子乐器。
其基本原理是将触碰电容器时产生的电压信号转化为声音,通过数字信号处理和控制实现音符的发声和音色的调节。
三、硬件电子琴电路模块设计1. 键盘模块键盘模块是电子琴中最基本的部分,主要包括键盘、扫描电路和触发电路。
其设计需要考虑触摸的准确性和稳定性,以及声音的快速响应。
2. 音源电路音源电路是决定音色和声音质量的关键部分,包括振荡器、放大器和滤波器等。
设计时需考虑选择合适的集成电路和元件,以及调节参数来实现丰富的音色效果。
3. 控制模块控制模块是电子琴功能设置和音色调节的关键,包括按键控制、音色切换、音量调节等功能。
设计时需考虑电路稳定性和响应速度,以提供良好的操作体验。
4. 输出模块输出模块是将电子琴产生的声音信号转化为可听到的声音的部分,包括音箱、耳机接口和音频输出接口等。
设计时需考虑输出信号的清晰度和音质保真度。
四、电路模块整合与调试在设计完成各模块后,需要将各个电路模块整合起来,并进行功能调试和性能测试。
需要注意信号传输的稳定性和干扰抑制,以确保电子琴的正常使用和音质表现。
五、结论硬件电子琴的电路模块设计是实现音质和功能的重要环节,通过合理选择元件和参数设置,可以实现丰富的音色和稳定的声音表现。
本文基于键盘模块、音源电路、控制模块和输出模块等方面对电子琴的电路模块进行了设计方案的讨论,希望对相关技术人员提供一定的参考和指导。
以上就是关于硬件电子琴电路模块方案设计的相关内容,希望对您有所帮助。
以上是本文的初步构思,根据实际情况和要求,可以结合具体电子琴设计项目继续拓展内容。
电子琴设计说明
电子琴设计说明(总12页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--电子琴的设计摘要随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。
作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。
本程序设计的是简易电子琴的设计。
采用EDA作为开发工具,VHDL语言为硬件描述语言,MAX + PLUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。
本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的近亲。
通过老师的指导和自己的学习完成了预想的功能。
1 引言课程设计的目的巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。
巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。
为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。
课程设计的内容1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。
共7个音阶信号;3)用指示灯显示节拍;2 开发工具简介EDA技术EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
单片机应用课程设计--简易电子琴设计
delay(5);
if (key1 == 0)
{
key_in_flag = 0;
key_value = 4;
}
}
else
{
key_in_flag = 1;
}
key8 = 1;
key7 = 0;
if (key4 == 0)
{
delay(5);
if (key4 == 0)
{
key_in_flag = 0;
参考文献
[1] 赵鑫,蒋亮,齐兆群.数字电路设计[M].北京机械工业出版社,2005年6月第一版
[2] 苏家健,曹柏荣,汪志锋.单片机原理及应用技术[M].高等教育出版社
[3] 李朝青.单片机原理及接口技术[M].北京:北京航天航空大学出版色,2001
[4] 胡汉才.单片机原理及其接口技术[M].北京:清华大学出版社,2004
{
key_in_flag = 0;
key_value = 2;
}
}
else
{
key_in_flag = 1;
}
if (key2 == 0)
{
delay(5);
if (key2 == 0)
{
key_in_flag = 0;
key_value = 3;
}
}
else
{
key_in_flag = 1;
}
if (key1 == 0)
方案二: 采用AT89C51单片机进行控制,由于AT89C51不具备ISP功能, 因此Atmel公司已经停产在市面上已经不常见,况且其ROM只有4K在系统将来升级方面没有潜力。
方案三:采用AT89S52单片机进行控制,由于其性价比高,完全满足了本作品智能化的要求,它的内部程序存储空间达到8K,使软件设计有足够的内部使用空间并且方便日后系统升级,使用方便,抗干扰性能提高。
计算机硬件课程设计电子琴设计
计算机硬件课程设计电子琴设计计算机硬件技术基础课程设计电子琴设计学院名称:自动化学院摘要计算机技术的飞速发展,加快了人类进入信息社会的步伐,改变了世界,改变了人们的工作、学习和生活,对社会发展产生了广发而深远的影响。
计算机技术在其他领域中的应用,极大地促进了个学科的发展。
有效掌握计算机技术保证了我们可以掌握最先进、最有效的研究开发手段,并影响我们所从事学科的发展。
因此,计算机技术基础是我们工科大学生必须掌握的、最重要的基础之一。
电子琴是高科技在音乐领域的一个代表,它是古典文化与现代文明的一个浓缩体。
它不但可以帮助我们的音乐教师进行传统音乐文化的教育教学工作,而且由于它又具备现代音乐,特别是电子音乐、电脑音乐的基本结构、特征,因而使我们的教师在进行现代音乐、电子音乐、电脑音乐的教学时,更直接、更简便。
我们此次计算机硬件技术课程设计便是利用计算机硬件技术基础课程中所学到的知识来设计一个具有若干功能的电子琴!关键字:计算机硬件技术电子琴具体分工:1 电子琴设计原理一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了。
当然对于8254来说,产生不同的频率非常方便,我们可以利用8254的定时/计数器来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。
该电子琴以8255、8254作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有7个弹奏按键、1个暂停键/预制播放键、1个终止键和扬声器。
1.1音阶频率对应关系表1 音阶频率对应表在本设计中,实验箱采用47KHZ的时钟频率,所以对应音阶频率所对应的十进制数为X=470000/Y,Y为不同音阶所对应的频率,最终转换如下表所示1.2音阶对应频率所转换的十进制数表2 音阶对应十进制数表- 0 -计算硬件基础课程设计————*******************************2 软件设计总体方案及流程图2.1键盘扫描程序:检测是否有键按下,有键按下则记录按下键的键值,并跳转至功能转移程序;无键按下,则返回键盘扫描程序继续检测。
微机原理课程设计-简易电子琴设计
简易电子琴设计一、设计目的通过课程设计使学生更进一步掌握微机原理及应用课程的有关知识,提高应用微机解决问题的能力,加深对微机应用的理解。
通过查阅资料,结合所学知识进行软、硬件的设计,使学生初步掌握应用微机解决问题的步骤及方法。
为以后学生结合专业从事微机应用设计奠定基础。
二、设计的原始资料及依据(1)查阅定时器8254,可编程8255及音节的频率范围等资料。
(2)通过改变键盘输入来改变8254输出频率,实现扬声器发音。
三、设计的主要内容及要求内容:利用微机原理试验箱,设计简易电子琴。
要求:(1)可以弹出7个音阶。
(2)弹奏简短音乐。
四、对设计说明书撰写内容、格式、字数的要求1.课程设计说明书(论文)是体现和总结课程设计成果的载体,一般不应少于3000字。
2.学生应撰写的内容为:目录、正文、参考文献等。
课程设计说明书(论文)的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。
应做到文理通顺,内容正确完整,书写工整,装订整齐。
3.说明书(论文)手写或打印均可。
手写要用学校统一的课程设计用纸,用黑或蓝黑墨水工整书写;打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。
4. 课程设计说明书(论文)装订顺序为:封面、任务书、成绩评定表、目录、正文、参考文献。
五、设计完成后应提交成果的种类、数量、质量等方面的要求;提交课程设计说明书一份。
在说明书中要有设计原理、硬件电路接线图、设计的程序及必要注释等。
六、时间进度安排;七、主要参考资料(文献)。
1、《微机原理与应用》大连理工大学出版社2、《微机原理与接口技术》北京航空航天大学出版社3、《微型计算机原理与汇编语言》电子工业出版社4、《8088/8086汇编语言程序设计》中央广播电视大学出版社沈阳工程学院微机原理及应用课程设计成绩评定表系(部):自动控制系班级:自本051 学生姓名:陈阳摘要微机原理课程设计——设计简易电子琴本实验是利用微机原理试验箱、8255芯片、8254芯片、键盘、扬声器等硬件设备,设计简易电子琴。
基于51单片机的电子琴设计课程设计
基于51单片机的电子琴设计课程设计单片机原理》课程设计前言本课程设计旨在通过基于51单片机的电子琴设计,加深学生对单片机原理的理解和应用。
在本设计中,我们将介绍电子琴的设计要求、所用设备及软件以及总体设计方案。
随后,我们将详细介绍系统硬件设计中琴键控制电路、音频功放电路、时钟-复位电路和LED显示电路的设计。
第1章基于51单片机的电子琴设计1.1 电子琴的设计要求在电子琴的设计中,我们需要考虑琴键数量、音频输出质量、电源电压和外部接口等因素。
在本设计中,我们将采用25个琴键,保证音频输出质量和电源电压稳定,并提供外部接口以便于扩展和调试。
1.2 电子琴设计所用设备及软件在本设计中,我们将使用51单片机、琴键、音频功放、时钟、LED显示器等设备,并使用Keil C51编译器进行软件开发。
1.3 总体设计方案在总体设计方案中,我们将采用按键扫描方式实现琴键控制,使用PWM技术实现音频输出,使用外部晶振提供时钟信号,并使用LED显示器显示琴键状态。
第2章系统硬件设计2.1 琴键控制电路在琴键控制电路中,我们将采用矩阵按键扫描方式,通过51单片机的IO口进行扫描和检测。
同时,我们还将使用电容式触摸开关来实现琴键的触发。
2.2 音频功放电路在音频功放电路中,我们将采用TDA7297芯片作为功放,通过PWM技术实现音频输出,并通过滤波电路滤除杂音和谐波。
2.3 时钟-复位电路在时钟-复位电路中,我们将采用12MHz晶振作为时钟源,并使用复位电路确保系统在上电时能够正确运行。
2.4 LED显示电路在LED显示电路中,我们将采用MAX7219芯片实现LED点阵显示,并通过SPI接口与51单片机进行通信。
同时,我们还将使用CD4511芯片实现数码管显示琴键状态。
通过本课程设计,我们可以深入理解单片机原理的应用,掌握电子琴的设计和制作技术,提高自身的实践能力和创新能力。
2.5 整体电路本章将介绍电子琴的整体电路设计。
课程设计简易电子琴设计
一设计任务描述1.1设计题目:简易电子琴设计1.2设计目的通过本学期对微机原理的学习,掌握的知识还停留在理论的上。
但是这是一门实践性较强的课程,让学生在学完该课程之后,进行一次课程设计,使学生将课堂所学的知识和实践有机结合起来,初步掌握计算机应用系统设计的步骤和接口设计的方法,提高分析和解决实际问题的能力。
通过设计实践,培养学生查阅专业资料,工具书或参考书,了解有关工业标准,掌握现代设计手段和软件工具,并能以图纸和说明书表达设计思想和结果的能力。
通过设计,不但要培养和提高学生解决工程具体问题,动脑动手的技术工作能力,而且还要逐步建立科学正确的设计和科研思想,培养良好的设计习惯,牢固树立实事求是和严肃认真的工作态度。
具体要求如下:(1)可以弹出7个音阶;(2)弹奏简短音乐;(3)通过改变键盘输入来改变8254输出频率,实现扬声器发音。
1.3设计要求1、总体内容:设计简易电子琴,能发出至少7种音阶;2、接口设计:根据题目和所用的接口电路芯片设计出完整的接口电路,并在实验系统上完成电路的连接和调试通过;3、程序设计:要求画出程序框图,设计出全部程序并给出程序设计说明和程序注释;4、前期完成的实验有:8255并行接口实验。
1.4简易电子琴电子琴简单功能实现以及利用由8255芯片控制的小键盘(含数码管、键盘阵列)实现控制电子琴。
主程序由电子琴和键盘控制的两个程序有机组成,两个程序简单拼凑在一起是不能实现的。
经过实际调试和修改,将原电子琴程序改为2个子程序:start(实现播放音阶)和delay(实现播放音阶时延时);将原键盘控制程序改为1个主程序:ccscan(实现键盘扫描)。
各个子程序通过寄存器通信,寄存器存有当前输入的键盘码,同时为电子琴和键盘控制两子程序服务。
调试后,主程序能实现课程设计要求的功能。
二设计概要2.1设计内容本次课程设计的内容为简易电子琴的设计与实现,利用8255将键盘输出值返回CPU,CPU通过分析命令8254输出相应频率的方波波形,再经过扬声器发出声音。
单片机电子琴课程设计
单片机电子琴课程设计一、课程目标知识目标:1. 让学生掌握单片机的基本原理,理解其内部结构和功能。
2. 使学生了解电子琴的基本工作原理,掌握单片机控制电子琴的方法。
3. 帮助学生掌握编程语言,如C语言,用于编写单片机控制程序。
技能目标:1. 培养学生动手搭建单片机电子琴硬件电路的能力。
2. 培养学生运用编程语言编写单片机程序,实现电子琴的基本功能。
3. 提高学生分析问题和解决问题的能力,使学生能够独立调试和优化单片机电子琴程序。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学生创新意识和探索精神。
2. 培养学生团队协作意识,提高沟通与交流能力。
3. 引导学生关注单片机技术在现实生活中的应用,认识到科技对社会发展的推动作用。
分析课程性质、学生特点和教学要求:本课程为单片机电子琴课程设计,旨在让学生将所学理论知识与实际应用相结合,提高学生的动手实践能力。
针对初中年级学生,课程内容需符合学生的认知水平和兴趣。
在教学过程中,注重引导学生主动参与,培养其独立思考和解决问题的能力。
课程目标分解:1. 知识目标:通过讲解、示范和练习,使学生掌握单片机原理、电子琴工作原理及编程方法。
2. 技能目标:通过动手实践,培养学生搭建硬件电路、编写程序和调试设备的能力。
3. 情感态度价值观目标:通过课程学习,激发学生对电子技术的兴趣,培养其团队协作意识和创新能力。
二、教学内容1. 单片机原理及内部结构:讲解单片机的组成、工作原理、I/O口功能等基础知识,对应教材第3章内容。
2. 电子琴工作原理:介绍电子琴的基本构成、音阶产生原理、键盘扫描方法等,对应教材第5章内容。
3. C语言编程基础:讲解C语言的基本语法、数据类型、运算符、控制语句等,对应教材第2章内容。
4. 单片机编程与控制:结合实例,讲解如何使用C语言编写单片机程序,实现电子琴功能,对应教材第4章内容。
5. 硬件电路搭建:介绍电子琴硬件电路的组成、元件选型及连接方法,对应教材第6章内容。
实验七 硬件电子琴设计
(1)基准频率的选择:各音名所对应的频率可由一频率较高 的基准频率进行整数分频得到,所以实际产生各音名频率为 近似的整数值。基准频率越高,近似程度越好,音准也越好 。但同时分频系数会越大,耗费芯片资源也越多。本实例选 取80KHZ信号作为基准频率。 实验箱输入时钟clk0为40MHz ,首先进行分频(500)得到较 低一点频率,如80kHz ,然后再对80kHz进行分频得到各音 阶频率。 (2)分频系数、公用二进制计数器容量N及初始值d的选取, 分别叙述如下: 分频系数A=f0/音名频率 分频系数n=分频系数A /2 =(f0/音名频率)/2; 以中音1为例,分频系数A=80KHZ/523=152.96,取整,这 里分频后的523HZ不是对称方波,由于占空比很小的方波很 难使扬声器有效的发出声响,所以再2分频得到分频系数n =80KHZ/(523*2)=76.44
三、底层模块设计 1、Tone音阶发生器模块
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity ToneTab is port( Key : in std_logic_vector(7 downto 0);--8个琴
12
系统组成
对输入的时钟信号 按照分频系数进行 分频,产生各种音 阶对应的声音频率
40MHZ
发出音调
FPGA
按 键
音阶 发生 器
数 控 分 频 器
蜂鸣器
显示声阶
对键盘按键输入的信 号进行检测,并且产 生获得音阶相应的分 频预置数
发光二极管
13
电路设计
模块TONE音节发生器的功能: 通过k0-k7 8个按键模拟电子琴的8个音节,输出信号code显示当前按键 具体音名,即是1、2、3、4.。。中的任意一个 输出信号Tone[7..0]为不同按键产生的不同分频预置数。 模块speak数控分频器的功能: 初始频率从外部输入,通过不同按键产生的不同预置数,将初始频率 进行分频,得到不同的分频结果,每一个结果对应一个音。 14
电子琴微机课程设计
电子琴微机课程设计一、教学目标本课程旨在让学生了解和掌握电子琴微机的基本原理和操作技巧。
通过本课程的学习,学生将能够:1.知识目标:理解电子琴的工作原理,掌握电子琴的基本结构和功能,了解电子琴音乐制作的基本流程。
2.技能目标:学会使用电子琴,掌握基本的演奏技巧,能够演奏简单的乐曲;学会使用电子琴相关的计算机软件,进行音乐创作和编辑。
3.情感态度价值观目标:培养学生对音乐的热爱和鉴赏能力,提高学生的人文素养,培养学生的创新精神和团队合作意识。
二、教学内容本课程的教学内容主要包括以下几个部分:1.电子琴的基本原理:介绍电子琴的工作原理,电子琴的构造和功能,让学生了解电子琴内部电子元件的作用和相互之间的关系。
2.电子琴的演奏技巧:教授电子琴的基本演奏技巧,包括指法、节奏、音色等,让学生能够熟练地演奏简单的乐曲。
3.电子琴音乐制作:介绍电子琴相关的计算机软件,教授音乐创作和编辑的基本方法,让学生能够独立地进行音乐创作。
4.乐曲欣赏和分析:通过欣赏和分析经典电子琴乐曲,提高学生对音乐的鉴赏能力,培养学生的音乐素养。
三、教学方法为了实现本课程的教学目标,我们将采用以下几种教学方法:1.讲授法:通过教师的讲解,让学生掌握电子琴的基本原理和演奏技巧。
2.讨论法:通过学生之间的讨论,促进学生对音乐的理解和思考。
3.案例分析法:通过分析经典乐曲,让学生了解和掌握音乐创作的技巧。
4.实验法:通过学生的实际操作,培养学生的动手能力和实践能力。
四、教学资源为了保证本课程的顺利进行,我们将准备以下教学资源:1.教材:选用适合学生水平的电子琴教材,为学生提供系统的学习材料。
2.参考书:提供相关的参考书籍,丰富学生的知识体系。
3.多媒体资料:准备相关的音乐视频、音频等资料,为学生提供生动的学习体验。
4.实验设备:准备电子琴和相关设备,让学生能够进行实际的操作和练习。
五、教学评估本课程的评估方式包括以下几个方面:1.平时表现:通过学生在课堂上的参与度、提问回答、小组讨论等表现,评估学生的学习态度和积极性。
硬件电子琴课程设计报告
《EDA技术及应用》课程设计报告系部:电子通信工程系指导教师:学号: 081307212 姓名:同组人:二О一一年十二月日硬件电子琴课程设计报告今年我们选修了张老师的EDA选修专业课,以及张老师的两周的EDA课程设计,在两周的课程设计之中,我们遇到了许多问题,我们在解决问题的过程之中,我们大大加强了我们的动手能力,现在将两星期的所有问题做如下总结。
一、硬件电子琴设计任务要求要求设计硬件电子琴具有如下功能:(1)按下KEY1~KEY3分别表示中音的DO RE ME。
(2)按住KEY4同时按KEY1~KEY3 分别表示高音的DO RE ME。
(3)按下相应的键有对应LED灯指示。
其他要求:1.晶振为12 MHz2. 采用CPLD 器件为ALTERA 的EPM7064SL-44二、硬件电子琴设计原理解析乐曲均是由DO RE ME FA SAO LA XI 组成,乐曲演奏的原理是:由于组成乐曲的每个音符的频率值(音调)及其持续时间(音长)是乐曲演奏的两个基本数据,因此需要控制输出到扬声器的激励信号的频率高低和该频率信号持续的时间。
频率的高低决定了音调的高低,而乐曲的简谱与各音名的频率对应关系如下表所示。
所有不同频率的信号都是从同意基准频率分频而得来的,由于音阶频率多为非整数,而分频系数又不能为小数,故必须将计算得到的分频数进行四舍五入来取整,基准频率和分频系数应综合考虑加以选择,从而保证音乐不会走掉调,我们所做的硬件时钟为12MHZ,在这个时钟频率下,中音1(对应的频谱值为523.3HZ)的分频系数应该为12000000/(2*523.3)= 16'h2CC9,这样只需对系统时钟按计算出的值进行分频即可得到中音1。
至于其他音符,同样来求出。
简谱中的音名与频率的关系音名频率/HZ 音名频率/HZ中音1 523.3 高音1 1045.5中音2 587.3 高音2 1174.7中音3 659.3 高音3 1318.5中音4 698.5 高音4 1396.9中音5 784 高音5 1568中音6 880 高音6 1760中音7 987.8 高音7 1975.5三电路总体框架设计采用复杂可编程逻辑器件(CPLD)制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实现.采用CPLD来设计的原理图如图1.1所示.它由控制输入电路、CPLD、LED电路和扬声器电路组成。
基于单片机的电子琴的设计课程设计说明
基于单片机的电子琴的设计课程设计说明专业工程设计说明书题目:基于单片机的电子琴设计院(系):电子工程与自动化学院专业:测控技术与仪器(卓越)学生姓名:侯雪磊学号:1100820411指导教师:陈寿宏2013 年9 月18 日随着人们生活水平的提高,对音乐的演唱和演奏成为了生活中一种不错的休闲娱乐方式。
小小的电子琴可以给人们带来美好的回忆,提高人们的精神文化享受同时能具有音乐盒的播放功能。
但是传统音乐盒多是机械型的,体积笨重,发音单调,不能实现批量生产。
本文设计的电子琴是以单片机为核心元件,体积小,重量轻,能演奏和旋音乐,功能多,外观效果多彩,使用方便,并具有一定的商业价值、和发展潜力。
基于单片机的电子琴设计以AT89S52单片机作为系统的核心控制部分,通过制作硬件电路和用C语言编写的主控芯片控制程序,并用Keil软件进行编译,然后进行软硬件的调试运行,最终达到设计电路的乐器演奏、点歌、显示功能、LED进行节拍指示。
设计中应用中断系统和定时/计数原理控制演奏器发声。
可以用它来弹奏和播放乐曲。
特点是设计思路简单、清晰、成本低、实用,可以根据个人意愿改变想要播放的音乐。
避免了机械音乐盒体积笨重、发音单调等一系列缺点,使得本设计具有一定的商业价值。
关键词:电子琴; AT89S52单片机;数码管;LED灯;音调;节拍。
1绪论 (5)1.1 电子琴的相关知识 (5)1.2 设计任务要求 (5)1.3 设计方案简介 (5)2 设计方案论证 (5)2.1 控制芯片的选择……………………………………………………………52.2 LED灯电路的选择 (5)2.3 声音播放电路的选择 (5)3 系统硬件设计及说明 (6)3.1 系统组成及总体框图 (6)3.2 元件简介 (6)3.2.1 AT89S52 (6)3.2.2 LM386 (7)3.2.3 LED数码管……………………………………………………………… 7 3.3各功能模块原理图 (8)3.3.1 控制电路的设计 (8)3.3.2 时钟振荡电路设计 (8)3.3.3 数码管显示电路设计 (9)3.3.4 LED显示电路设计 (9)3.3.5 键盘电路的设计 (9)3.3.6 声音播放电路的设计 (10)3.3.7复位电路的设计 (10)3.4 电路总体构成 (10)4 系统软件设计 (11)4.1 音乐相关知识 (11)4.2 软件程序设计 (11)4.2.1 主程序流程图 (11)4.2.2 按键子程序流程图 (12)4.3程序编写 (14)4.3.1 程序开头以及参数定义 (14)4.3.2 延时、定时器初始化程序 (15)4.3.3 音乐演奏程序 (16)4.3.4 主程序 (17)4.3.5键盘检测程序 (17)5 组装调试 (21)5.1 检查硬件连接 (21)5.2 检查软件 (21)6 收获、体会 (21)7 参考文献 (22)附录 (22)1 绪论1.1 电子琴的相关知识电子琴又称电子键盘,属于电子乐器(区别于电声乐器),发音量可以自由调节。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
计算机硬件技术基础课程设计电子琴设计学院名称:自动化学院学院名称:自动化学院专业:自动化专业班级: ******** 学号: 2011**** : ****学号: 2011**** : ******学号: 2011**** : ******学号: 2011**** : ****评分:教师: ****目录目录 (I)摘要 (II)1 电子琴设计原理......................................................... - 0 -1.1音阶频率对应关系................................................. - 0 -1.2音阶对应频率所转换的十进制数..................................... - 0 -2 软件设计总体方案及流程图............................................... - 1 -2.1键盘扫描程序:................................................... - 1 -2.2功能转移程序:................................................... - 1 -2.3琴键处理程序:................................................... - 1 -2.4自动播放歌曲程序:............................................... - 1 -2.5 简要流程图....................................................... - 2 -2.6 简要流程图(子程序)............................................. - 3 -3 硬件电路介绍........................................................... -4 -3.1 8255A简介....................................................... - 4 -3.2 8254简介........................................................ - 4 -3.3 键盘简介......................................................... - 5 -3.4 扬声器控制模块................................................... - 6 -3.5 系统电路图....................................................... - 6 -3.6 实物电路图接线................................................... - 7 -3.7 按键操作说明..................................................... - 7 -4 总结................................................................. - 8 -5 附录................................................................. - 9 -5.1心得体会......................................................... - 9 -5.1.1 心得体会():.............................................. - 9 -5.1.2 心得体会():............................................. - 10 -5.1.3 心得体会():............................................. - 11 -5.1.4 心得体会().............................................. - 12 - 5.2程序.......................................................... - 14 -摘要计算机技术的飞速发展,加快了人类进入信息社会的步伐,改变了世界,改变了人们的工作、学习和生活,对社会发展产生了广发而深远的影响。
计算机技术在其他领域中的应用,极促进了个学科的发展。
有效掌握计算机技术保证了我们可以掌握最先进、最有效的研究开发手段,并影响我们所从事学科的发展。
因此,计算机技术基础是我们工科大学生必须掌握的、最重要的基础之一。
电子琴是高科技在音乐领域的一个代表,它是古典文化与现代文明的一个浓缩体。
它不但可以帮助我们的音乐教师进行传统音乐文化的教育教学工作,而且由于它又具备现代音乐,特别是电子音乐、电脑音乐的基本结构、特征,因而使我们的教师在进行现代音乐、电子音乐、电脑音乐的教学时,更直接、更简便。
我们此次计算机硬件技术课程设计便是利用计算机硬件技术基础课程中所学到的知识来设计一个具有若干功能的电子琴!关键字:计算机硬件技术电子琴具体分工:1 电子琴设计原理一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了。
当然对于8254来说,产生不同的频率非常方便,我们可以利用8254的定时/计数器来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。
该电子琴以8255、8254作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有7个弹奏按键、1个暂停键/预制播放键、1个终止键和扬声器。
1.1音阶频率对应关系表1 音阶频率对应表在本设计中,实验箱采用47KHZ 的时钟频率,所以对应音阶频率所对应的十进制数为X=470000/Y ,Y 为不同音阶所对应的频率,最终转换如下表所示1.2音阶对应频率所转换的十进制数2 软件设计总体方案及流程图2.1键盘扫描程序:检测是否有键按下,有键按下则记录按下键的键值,并跳转至功能转移程序;无键按下,则返回键盘扫描程序继续检测。
2.2功能转移程序:对检测到得按键值进行判断,是琴键则跳转至琴键处理程序,是功能键则跳转至相应的功能程序,我们设计的功能程序有两种,即音色调节功能和自动播放乐曲功能。
2.3琴键处理程序:根据检测到得按键值,查询音律表,给计时器赋值,使发出相应频率的声音。
2.4自动播放歌曲程序:检测到按键按下的是自动播放歌曲功能键后执行该程序,电子琴会自动播放事先已经存放好的歌曲,歌曲播放完毕之后自动返回至键盘扫描程序,继续等待是否有键按下。
2.5 简要流程图图1 简要流程图2.6 简要流程图(子程序)图2简要流程图(子程序)3 硬件电路介绍3.1 8255A简介8255A是一种使用单一的+5V电源、40引脚双列直插式的大规模集成电路芯片,并且是一种通用可编程并行I/O接口芯片。
8255部有3个8位的输入、输出数据端口,即A口,B口和C口。
它们均可通过编程设定为输入、输出,且都有数据锁存功能。
C口可通过编程分为2个4位的输入/输出口,每个4位口均可单独设定为输入口或输出口,用于传送数据。
且根据其控制字的不同,8255有三种不同的工作方式,方式0,方式1,方式2。
在本次设计中8255采用方式0 :基本输入输出方式。
图3 8255A的方式控制字3.2 8254简介8254是可编程定时器/计数器。
每个8254芯片有3个独立的16位计数器通道,每个计数器有6种工作方式,都可以按二进制或十进制计数。
8254的方式控制字有两个,一个用来设置计数器的初值,称为控制字。
另一个用来设置读回命令,称为读回控制字。
这两个控制字共用一个地址,由标识位来区分。
控制字格式如下图图4 8254的方式控制字3.3 键盘简介利用8255C口作为按键接入口,形成4×4组成16个按键矩阵,如下图所示图5 键盘接线图3.4 扬声器控制模块该扬声器控制模块由扬声器、与门、放大器组成,与门将来自8255和8254 的信号相与后经放大器、滤波电容送到扬声器。
图6 扬声器模块电路图3.5 系统电路图图7 系统电路图3.6 实物电路图接线PC4-PC7接键盘列信号,PC0-PC3接键盘行图8 电子琴实物接线图3.7 按键操作说明通过8255进行键盘扫描获取按键信息,根据所按的键1~7来对应不同的音调以及两个开关k1、k2来控制音阶,并定义初始为中音,并且当K1为1,K2为0的时候为低音,K2为1,K1为0的时候为高音,其他情况均视作中音。
D 键为播放/暂停键,E键终止。
4 总结为期2周的实习结束了,我们很好的完成了设计任务。
期间,我们学到了很多宝贵的经验和相关的计算机硬件技术知识。
在这次的计算机硬件技术基础课程设计中,汇编语言程序的编写以及原理图的设计占了很重要的部分。
对于零散的单元,通过硬件电路搭建、编程、调试,才能形成一个完整的系统。
我们必须深入到工程实践中,毕竟实践出真知。
同时,在本次课程设计中,我们还必须将书本中的知识很好的应用到实践中去。
经过课程设计,在查阅资料的过程中,学习了基于8254、8255来进行实物设计,将所学真正地应用实践之中,这对于以后的学习和工作都有很大的益处。
在设计的过程中,也遇到了一些困难,比如开始的时候,由于音频的计算不准确,导致弹奏的出来的声音很刺耳,在解决问题的过程中,对于音频有了深刻的认识,在后期,在增加功能模块时,由于程序存在的缺陷花费了大量的时间来调试程序和硬件电路。
现在课程设计要结束了,但它的影响却留存长久,它让我们自己动手,品尝成功的喜悦,激发了我们对实践的兴趣和热情,在很大程度上鼓舞了我们的学习决心,增强了我们的自信心,让我们以更大的勇气面对以后的学习和人生。
通过这次课程设计,我深刻的认识到了,理论知识和实践相结合是教学环节中相当重要的一个环节,只有这样才能提高我们的实际操作能力,并且从中培养我们独立思考、用于克服困难、团队协作的精神。
5 附录5.1心得体会5.1.1 心得体会(****):开学第一周就进入到计算机硬件的课程设计之中,由于我平时喜爱音乐,所以这次计算机硬件课程设计我们组做的是“电子琴”这个项目,并且由我担任组长。