多路波形发生器及测频电路制作

合集下载

VHDL多路波形发生器实验报告

VHDL多路波形发生器实验报告

VHDL多路波形发生器实验报告一、基本要求:1、对输入时钟信号进行分频,实现三路互差120°的信号。

2、实现输出信号的占空比控制clk: 输入时钟信号reset: 同步复位信号(低电平有效)div: 输入分频控制信号(注意:6n分频)ctrl: 占空比控制信号ctrl=1时, 占空比为1:1ctrl=2时, 占空比为1:2ctrl=3时, 占空比为2:1A,B,C: 三路输出信号二、设计思路:1.实验为6n分频,用变量s来控制,0~6n-1这六个数,当时钟信号每来一个上升沿时加1,当为6n-1时清零;2.定义N为常量,通过改变N的值改变分频;3.ctrl值不同时,占空比不同,用case语句控制,ctrl分别为01,10,11和其他;4.具体波形的实现用if语句,当占空比为1时,A输出信号在s=0和s=3*n时翻转,B输出信号在s=2*n和s=5*n时翻转,C输出信号在s=4*n和s=n的时候翻转。

当占空比为1:2时,A输出信号在s=0和s=2*n时翻转,B输出信号在s=2*n和s=4*n时翻转,C输出信号在s=4*n和s=0的时候翻转。

当占空比为2:1时,A输出信号在s=0和s=4*n时翻转,B输出信号在s=2*n和s=0时翻转,C输出信号在s=4*n和s=2*n的时候翻转;5.在占空比为1和1:2时,C输出信号应比B慢120度,但是实际输出超前B,所以要对C输出进行反相;同理,在占空比为2:1时,要对B、C分别进行反向。

6.用if语句判断是否复位,若非,则执行case语句。

三、流程图:四、源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity top isport(clk,reset:in std_logic;ctrl:in std_logic_vector(1 downto 0);A,B,C:out std_logic);end top ;architecture rel of top issignal temp1,temp2,temp3,temp4,temp5 : std_logic; constant N: integer:=1;signal s:integer range 0 to 6*N-1 ;beginprocess(clk,reset,ctrl)beginif (reset='0') thentemp1<='0';temp2<='0';temp3<='0';temp4<='0';temp5<='0';s<=0;elsecase ctrl iswhen "01"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=3*N thentemp1<= not temp1;end if;if s=2*N thentemp2<= not temp2;end if;if s=5*N thentemp2<= not temp2;end if;if s=4*N thentemp4<= not temp4;end if;if s=N thentemp4<= not temp4;end if;end if;temp3<= not temp4;when "10"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=2*N thentemp1<= not temp1;end if;if s=2*N thentemp2<= not temp2;end if;if s=4*N thentemp2<= not temp2;end if;if s=4*N thentemp4<= not temp4;end if;if s=0 thentemp4<= not temp4;end if;end if;temp3<= not temp4;when "11"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=4*N thentemp1<= not temp1;end if;if s=2*N thentemp5<= not temp5;end if;if s=0 thentemp5<= not temp5;end if;if s=4*N thentemp4<= not temp4;end if;if s=2*N thentemp4<= not temp4;end if;end if;temp2<= not temp5;temp3<= not temp4;when others=>temp1<='0';temp2<='0';temp3<='0';end case;end if;end process;A<=temp1;B<=temp2;C<=temp3;end rel;五、仿真波形:整体波形:当ctrl=1 当ctrl=2 当ctrl=3复位当ctrl=其他:六、实验过程遇到的问题:在程序设计时,开始不知该怎样使A,B,C互差120度,开始是想通过定义一个变量,每来一个上升沿加1,从0开始,A路信号除3n 取余为0则翻转,B路信号除3n取余为1则翻转,C路信号除3n取余为2则翻转,我觉得这样的想法应该没有错,可是实际却调不出来,可能是某处逻辑有问题,后来就模仿老师给的6分频程序,设计了现在的程序。

(毕业论文)555制作多波形发生器

(毕业论文)555制作多波形发生器

第1章引言1.1本课题的研究现状信号源作为一种基本电子设备无论是在教学、科研还是在军事技术中,都有着广泛的使用。

因此,从理论到工程对信号的发生进行深入研究,不论是从教学科研角度,还是从社会实际应用角度出发都有着积极的意义。

随着科学技术的发展和测量技术的进步,对信号源的要求越来越高,普通的信号发生器已无法满足目前日益发展的数字技术领域科研和教学的需要信号发生器既可以构成独立的信号源,也可以是高性能网络分析仪、频谱仪及其它自动测试设备的组成部分。

信号发生器的关键技术是多种高性能仪器的支撑技术,因为它能够提供高质量的精密信号源及扫频源,可使相应系统的检测过程大大简化,降低检测费用并极大地提高检测精度。

美国安捷伦生产的33250A 型函数/任意波形发生器可以产生稳定、精确和低失真的任意波形,其输出频率范围为1μHz~80MHz,而输出幅度为10mVpp~10Vpp;该公司生产的8648D射频信号发生器的频率覆盖范围更可高达9kHz~4GHz。

国产SG1060数字合成信号发生器能双通道同时输出高分辨率、高精度、高可靠性的各种波形,频率覆盖范围为1μHz~60MHz;国产S1000型数字合成扫频信号发生器通过采用新技术、新器件实现高精度、宽频带的扫频源,同时应用DDS和锁相技术,使频率范围从1MHz~1024MHz能精确地分辨到100Hz,它既是一台高精度的扫频源,同时也是一台高精度的标准信号发生器。

还有很多其它类型的信号发生器,他们各有各的优点,但是信号发生器总的趋势将向着宽频率覆盖、高频率精度、多功能、多用途、自动化和智能化方向发展。

1.2选题目的及意义信号发生器是一种经常使用的设备,由纯粹物理器件构成的传统的设计方法存在许多弊端,如:体积较大、重量较沉、移动不够方便、信号失真较大、波形种类过于单一、波形形状调节过于死板,无法满足用户对精度、便携性、稳定性等的要求,研究设计出一种具有频率稳定、准确、波形质量好、输出频率范围宽、便携性好等特点的波形发生器具有较好的市场前景,以满足军事和民用领域对信号源的要求。

多路波形发生器的制作与调试实验报告

多路波形发生器的制作与调试实验报告

姓名学号:班级:院系:2014年4月3日多路波形发生器的制作与调试实验报告一、实验名称:PCB板焊接二、实验目的:学会1、使用刮刀和钢模印刷焊锡膏,2、使用镊子将元器件放在PCB板上, 3、使用回流焊机,4、使用调试多路波形发生器电路的波形,5、使用热风枪三、实验内容: 1.印刷焊锡膏2.放置元器件3.回流焊4.调试电路,记录数据5.使用热风枪四、实验环境:实训楼305,刮刀,钢模,回流焊机,稳压源,波形发生器,万用表,镊子,热风枪。

五、实验注意事项: 1.印刷焊锡膏,刮刀应倾斜45度。

2.注意元器件放置的方向。

3.调试电路应接入5V直流电源六、实验步骤1.焊锡膏,刮刀,多路波形发生器钢模准备如图1.1图1.2所示图1.1 刮刀图1.2 焊锡膏将钢模板放在支架上,PCB板放在钢模板的下面,对齐钢模板的窗口,将焊锡膏如图1.2倒在钢模板上(少量),用刮刀如图1.1倾斜45度角由上往下将焊锡膏通过钢模板覆盖在PCB上,做完后,检查PCB的各个焊点上有无覆盖焊锡膏。

2.放置元器件。

在PCB板弄完焊锡膏后,用镊子轻轻地将一个个元器件依次放在PCB板上,检查元器件是否有遗漏。

3.回流焊。

将元器件放置好的PCB板,小心翼翼的放入再流焊机上如图1.3,温度调到230度进行焊接。

图1.3再流焊机多路波形发生器就这样焊好了如图1.4。

图1.4 多路波形发生器4.测试电路。

任务: (1) 用示波器观察Q1、Q2基极波形。

(2)用示波器观察74HC4060 10角波形。

晶振产生信号是什么样的?正弦波还是方波?(3)用万用表测试Q1、Q2、Q3、Q4的Vbe。

将焊好的PCB板用示波器和稳压源测试波形,如图1.5和图1.6所示。

图1.5 示波器图1.6 稳压源先用万用表将稳压源调成5V,将电路板接入5V直流电,红色线接电源,黑色线接地。

示波器接入探头,一端接Q1基极,一端接地,调试示波器参数。

Q1、Q2、Q3、Q4基极波形分别如图所示。

多波形发生器的设计

多波形发生器的设计

基于51单片机的多波形发生器
return da;
}
void DAC_write(unsigned char dat)
{
IIC_Start();
IIC_SendByte(0x90);
IIC_WaitAck();
IIC_SendByte(0x40);
IIC_WaitAck();
IIC_SendByte(dat);
IIC_WaitAck();
IIC_Stop();
}
3.实验结果与分析
3.1 实验结果
将程序下载到单片机开发板上,示波器接PCF8591模块的UOUT引脚,初始状态下,示波器显示方波,可以通过KEY1/KEY5调节幅度,KEY2/KEY6调节频率,KEY3/KEY7调节占空比.按下KEY4,每按一下,波形变化一次,循环显示正弦波、三角波、锯齿波、方波、梯形波,如下面图片所示。

第一次按下KEY8显示方波频率信息,再次按下,数码管闪烁,表示此时可以通过按键KEY2/KEY6设置任意频率,设置完成后,再次按下KEY8,保存设置的频率,退出数码管显示界面,数码管全部熄灭,数码管显示部分如下图13。

实物连接图如图14。

图8 方波
图9 三角波图10 锯齿波图11正弦波
图12 梯形波
图13 频率显示界面
图 14 实物连接图
3.2实验中遇到的问题
(1)在设计独立按键部分时,出现一段时间按键有效,一段时间按键无效,。

波形发生与扫频信号发生器电路设计

波形发生与扫频信号发生器电路设计

实验四波形发生与扫频信号发生器电路设计一、实验目的:学习用VHDL设计波形发生器和扫频信号发生器,掌握FPGA对D/A 的接口和控制技术,学会LPM_ROM在波形发生器设计中的实用方法。

二、原理说明:如图11-1所示,完整的波形发生器由4部分组成:∙FPGA中的波形发生器控制电路,它通过外来控制信号和高速时钟信号,向波形数据ROM发出地址信号,输出波形的频率由发出的地址信号的速度决定;当以固定频率扫描输出地址时,模拟输出波形是固定频率,而当以周期性时变方式扫描输出地址时,则模拟输出波形为扫频信号。

∙波形数据ROM中存有发生器的波形数据,如正弦波或三角波数据。

当接受来自FPGA的地址信号后,将从数据线输出相应的波形数据,地址变化得越快,则输出数据的速度越快,从而使D/A输出的模拟信号的变化速度越快。

波形数据ROM可以由多种方式实现,如在FPGA外面外接普通ROM;由逻辑方式在FPGA中实现(如例11-1);或由FPGA中的EAB模块担当,如利用LPM_ROM实现。

相比之下,第1种方式的容量最大,但速度最慢;,第2种方式容量最小,但速度最最快;第3种方式则兼顾了两方面的因素;∙D/A转换器负责将ROM输出的数据转换成模拟信号,经滤波电路后输出。

输出波形的频率上限与D/A器件的转换速度有重要关系,本例采用DAC0832器件。

DAC0832是8位D/A转换器,转换周期为1µs,其引脚信号以及与FPGA 目标器件典型的接口方式如附图2-15所示。

其参考电压与+5V工作电压相接(实用电路应接精密基准电压)。

DAC0832的引脚功能简述如下:∙ILE(PIN 19):数据锁存允许信号,高电平有效,系统板上已直接连在+5V上。

∙ WR1、WR2(PIN 2、18):写信号1、2,低电平有效。

∙ XFER(PIN 17):数据传送控制信号,低电平有效。

∙ VREF(PIN 8):基准电压,可正可负,-10V~+10V。

实用电子产品制作任务4 多波形发生器制作

实用电子产品制作任务4 多波形发生器制作
《电子工艺综合技能实训》课程
项目四 电子工艺应用技能实训
平顶山工业职业技术学院
1
项目四: 电子工艺应用技能实训
任务4: 多路波形发生器制作
知识目标
1 熟悉电路原理分析的一般方法
4 熟悉丝印法制作印制电路板的流程
2 了解常用元器件性能和检测方法
5
熟悉再流焊方式进行表面贴装 元器件焊接的步骤
3
熟悉Protel DXP 2004绘制电路原理图 和印制电路板PCB图的方法
9
三、工作计划
工作计划表
序号
工作内容
1 团队制定整体设计方案与工作计划表 2 设计并分析多路波形发生器电路的工作原理。 3 利用万用表对元件的性能进行检测。
4 利用Protel DXP 2004绘制多路波形发生器电路原理图与PCB图。
5 利用丝印法制作多路波形发生器电路电路板。 6 利用再流焊工艺对贴装元器件进行自动焊接。
7
二、技能要点
图4-4-1 多路波形发生器参考原理图
8
二、技能要点
2 印制电路板制作
采用化学蚀刻方式制作印制电路板。主要步骤有:配腐蚀液、 剪板、去污、打印PCB设计图 、图形转移、检差修补、蚀刻、检查 清洗、镀锡、丝印、涂助焊剂、检查等。
3 贴片元器件焊接
①手工丝印焊锡膏 ②手工贴片 ③再流焊焊接
6
能够采用再流焊方式进行表面 贴装元器件焊接
7
对多路波形发生器进行电路调试。
3
项目四: 电子工艺应用技能实训
素质目标
1
培养学生团队协作意识
2 培养学生耐心、细致、认真的做事习惯
3 培养学生创新意识、环保意识、成本意识
4
项目四: 电子工艺应用技能实训

555多路波形发生器的系统功能及设计原理

555多路波形发生器的系统功能及设计原理

555多路波形发生器是一种广泛应用于电子技术领域的信号源,它可以产生多种不同频率和幅度的波形信号。

该系统具有多种功能,如产生方波、三角波、锯齿波等,同时还可以通过外部控制实现频率和幅度可调。

下面将详细介绍555多路波形发生器的系统功能及设计原理。

一、系统功能产生多种波形555多路波形发生器可以产生方波、三角波、锯齿波等多种波形。

这些波形在电子技术领域有着广泛的应用,如测试电路性能、控制电机等。

频率和幅度可调通过外部控制,555多路波形发生器的频率和幅度可以调节。

这使得该系统具有很高的灵活性,可以根据不同的应用需求产生不同的波形信号。

多路输出555多路波形发生器具有多路输出,可以同时产生多个不同频率和幅度的波形信号。

这使得该系统在多通道应用中具有很高的优势。

稳定性好由于采用了先进的电路设计和制造工艺,555多路波形发生器的稳定性非常好。

即使在长时间工作或恶劣环境下,也能保持稳定的输出性能。

二、设计原理电路组成555多路波形发生器主要由以下几个部分组成:触发器、比较器、放电管、电阻和电容等。

这些元件通过电路连接,形成了一个完整的信号发生器。

工作原理当触发器接收到一个外部信号时,会触发比较器产生一个脉冲信号。

这个脉冲信号通过放电管和电阻电容网络,产生一个具有特定频率和幅度的波形信号。

同时,通过外部控制,可以调节比较器的阈值电压,从而改变波形信号的频率和幅度。

波形生成通过调整放电管和电阻电容网络的参数,可以生成方波、三角波、锯齿波等多种波形。

具体来说,当放电管导通时,电容通过放电管放电,产生一个下降沿;当放电管截止时,电容通过电阻充电,产生一个上升沿。

通过调整放电管和电阻的参数,可以改变上升沿和下降沿的斜率,从而生成不同的波形。

频率和幅度调节通过外部控制,可以调节比较器的阈值电压,从而改变波形信号的频率和幅度。

具体来说,当阈值电压升高时,比较器产生的脉冲信号频率降低;当阈值电压降低时,比较器产生的脉冲信号频率升高。

多波形信号发生器设计

多波形信号发生器设计

多波形信号发生器设计一、简介设计一个能够产生多个信号输出的信号发生器,要求输出波形分别为方波、三角波、正弦波。

特别适合电子爱好者或学生用示波器来做观察信号波形实验。

该信号发生器电路简单、成本低廉、调整方便。

它是基于ne555计时器接成振荡器工作形式和电容积分而产生的波形。

其工作频率为1KHz左右,调节滑动变阻器可改变振荡器的频率。

波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数。

可见信号源在各种实验应用和试验测试处理中,它的应用非常广泛。

它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。

目前我国己经开始研制波形发生器,并取得了可喜的成果。

但总的来说,我国波形发生器还没有形成真正的产业。

就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器和VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。

二、设计目的1、掌握方波—三角波——正弦波函数发生器的原理及设计方法。

2、掌握ne555计时器工作原理和各种电子器件的简单认识。

3、能够独立的进行电路板焊接和电路检查与故障排除。

4、学会用示波器来观察发生器的波形输出并作出判断。

三、硬件介绍及其原理1、元件列表ne555是一种应用特别广泛作用很大的的集成电路,属于小规模集成电路,在很多电子产品中都有应用。

ne555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。

ne555时基电路有两种封装形式有,一是dip双列直插8脚封装,另一种是sop-8小型(smd)封装形式。

其他ha17555、lm555、ca555分属不同的公司生产的产品。

内部结构和工作原理都相同。

ne555的内部结构可等效成23个晶体三极管.17个电阻.两个二极管.组成了比较器.RS触发器.等多组单元电路.特别是由三只精度较高5k 电阻构成了一个电阻分压器.为上.下比较器提供基准电压.所以称之为555.ne555属于cmos工艺制造.NE555引脚图介绍如下1地GND2触发3输出4复位5控制电压6门限(阈值)7放电8电源电压Vcc应用十分广泛.下面是一个简单的ne555电路应用内部结构几种工作形式第1种(图1)是人工启动单稳,又因为定时电阻定时电容位置不同而分为2个不同的单元,并分别以1.1.1和1.1.2为代号。

多路波形发生器的设计与实现

多路波形发生器的设计与实现

多路波形发生器的设计与实现引言多路波形发生器是一种能够同时输出多种不同信号波形的设备。

它的设计与实现涉及到信号发生器、电路设计以及软件编程等多个方面的知识。

本文将详细探讨多路波形发生器的设计与实现过程。

设计要求在设计多路波形发生器时,需要满足以下要求: 1. 能够同时输出多路信号波形;2. 支持多种常见的信号波形,例如正弦波、方波、三角波等;3. 能够调节不同信号波形的频率、幅度和相位等参数;4. 需要提供友好的用户界面,方便用户进行操作;5. 设备的输出稳定性和精度要求较高。

设计方案基于以上设计要求,我们可以采用以下设计方案来实现多路波形发生器:电路设计模拟信号生成电路1.使用数字模拟转换器(DAC)将数字信号转换为模拟信号;2.通过运放电路放大模拟信号,并根据用户设置的幅度参数进行调节;3.按照用户设置的频率参数生成模拟信号的周期性变化。

控制电路设计1.使用微控制器或FPGA作为控制核心,负责接收用户的输入,控制信号的生成和输出等操作;2.通过按键、旋钮或者触摸屏等输入设备与用户进行交互;3.控制电路还需要生成相位差来实现多路信号波形的同步输出。

软件编程1.使用适当的编程语言开发控制软件,实现用户界面的设计和数据的处理;2.根据用户输入的参数,生成对应的波形参数,并通过控制电路输出;3.控制软件还需要实现相位差的计算和同步输出的控制。

实现步骤下面将介绍具体的实现步骤:步骤一:电路设计与组装1.根据电路设计方案,选择合适的元器件,设计并制作模拟信号生成电路;2.设计并制作控制电路,使其能够与模拟信号生成电路有效地协作;3.对于大规模的多路波形发生器,可能需要使用多个模块进行串联或并联。

步骤二:软件编程与调试1.根据设计方案,使用适当的编程语言进行控制软件的开发;2.实现用户界面的设计,包括输入参数的显示和调节;3.编写波形参数生成的算法,并将生成的参数发送给控制电路;4.调试软件功能及与控制电路之间的通信问题。

简易多波形信号发生器电路设计

简易多波形信号发生器电路设计

简易多波形信号发生器电路设计信号发生器在电子实验中作为信号源,通常用得多的是正弦波、三角波、方波以及用作触发信号的脉冲波。

本次制作的是能产生九种波形的信号发生器。

设计目标是简单易制、工作可靠、信号频率在音频范围连续可调,即20Hz~20KHz,输出信号电压能与TTL电平兼容。

电路中采用了两块CMOS数字集成电路74C04(内含六个反相器)和74C14(内含六个带施密特电路的反相器)。

电路见图1,由反相器IC1的a、b、c三个并连,和电阻W1+R1、电容C1、C2、C3构成振荡器以产生三角波,振荡频率计算公式为f=1/1.7RC。

振荡频率分为×10、×100、×1k三段、用开关K2改变接入的电容量粗调频率,由电位器W1细调20~200Hz、200~2kHz、2k~20kHz,覆盖音频频段。

三角波经射极跟随器T2输出,约3VP-P。

此三角波经施密特触发器IC2a整形为方波,再经IC2b~f并联输出(多个门电路并联以提高驱动能力),其电平兼容TTL。

IC1d、IC1e~f构成两级线性放大器,用于将三角波整形为模拟正弦波,原理是利用放大器饱和将三角波的尖端限幅为圆形,再经射极跟随器T1输出,约6.5VP-P。

当波形选择开关K3将电阻R2和二极管D1或D2接入电路时,输出的方波被整流为正电压或负电压加到三角波发生器的输入端,构成压控振荡器(VCO),从而获得极性不同的锯齿波或脉冲波,脉冲宽度取决于电阻R2和积分电容的大小。

如此构成一个实用的多波形信号发生器,开关K3是波形选择开关,其位置与波形的关系见附表。

积分电容C1、C2、C3选用温度特性好的薄膜电容,容量值要求准确,每组电容器由两个电容器并联以得到需要的数值,需用数字万用表的电容档精选,才能保证三条频率刻度的—致性。

电容C4、C5一定要用无极性电容,可用两个4.7μ有极性电介电容同极性串连代替。

电容C6、C7用钽电介。

图1中未注明电压的电容器均选用50V。

用8038制作多波形信号发生器

用8038制作多波形信号发生器

用8038制作多波形信号发生器信号发生器在电子产品研发过程中使用广泛,但对于电子爱好者来说,个人购买一台信号发生器来使用又显得不太合适,本文提供一个可产生多种波形的信号发生器电路,有兴趣的电子爱好者可以自制一个,作为信号发生器来使用。

电路原理图如下图所示。

图中的8038 为函数发生器专用IC,它具有3 种波形输出,分别正弦波、方波和三角波,8038的第10脚外接定时电容,该电容的容值决定了输出波形的频率,电路中的定时电容从C1至C8决定了信号频率的十个倍频程,从500&mu;F开始,依次减小十倍,直到5500pF,频率范围相应地从0.05Hz~0.5 Hz~5Hz~50Hz~500Hz~5kHz~50kHz~500kHz,如果C8取250pF,频率可达1MHz。

图中的V1、R7、R8构成缓冲放大器,R9 为电位器,用于改变输出波形的幅值。

整个电路的频率范围为0.05Hz~1MHz,占空比可以从2%至98%调整,失真不大于1%,线性好,误差不大于0.1%,因此电路很有实用价值。

如图所示为正弦波、方波发生电路。

一般测量线性放大器动态范围和失真度需要正弦波,而测量线性放大器的瞬态、频率特性和相位畸变需要方波。

本电路有三种工作状态,即正弦波输出、方波输出或自动交变的正弦波、方波输出。

图中,ICL8038为多种波形发生器。

它输出的正弦波和方波加到视频放大器MC1445L的两个输入端。

发生器输出的方波也同时加到TTL四分频电路(SN7473)的计数输入端,四分频电路的输出控制视频放大器的输出波形(正弦波、方波或交变的正弦波和方波)。

按图中所标元件数值,电路工作频率为0.3RACT=1kHz。

正弦波的振幅大约为l.5V,方波的幅度约为0.9V。

如果RA=RB,占空比接近50%。

开关S用来选出输出波形。

波形发生器电路的设计与制作

波形发生器电路的设计与制作

DGND

XFER WR +
DAC0832的引脚
DAC0832是CMOS工艺,双列直插式20引脚。 ① VCC电源可以在5-15V内变化。典型使用 时用15V电源。 ② AGND为模拟量地线,DGND为数字量地 线,使用时,这两个接地端应始终连在一起。 ③ 参考电压VREF接外部的标准电源,VREF 一般可在+10V到—10V范围内选用。
K 1 2 3
J2 CON3
1 2 3
J3 CON3
1 2 3
J4 CON3
1 2 3
R5 RE S2 D1 P20 3 5 10 1 2 4 7 11 DP G F E D C B A L E D4 PNP 12 Q3 R7 RE S2 PNP 9 8 PNP
P37
+5V P10
6 Q2 R6 RE S2 P36
电平输出

XFER WR +
在实际使用时,总是 DGND 将电流转为电压来使 用,即将Ioutl和lout2 加到一个运算放大器 的输入。
LM324
参考电路
+5V Q1 J1 CON3 R1 S1 SW -PB S2 SW -PB S3 SW -PB 1k R2 1k R3 1k P12 P26 P27 P21 D2 RP
DI0 DDI7 ILE CS WR1+
Rfb VREF IOUT1 IOUT2
8位 输入 寄 存 器
LE1
8位 DAC 寄 存 器
LE2
8位 D/A 转 换 器
它的输出是与数字 量成比例的电流, Vref为参考电压输入, Rfb为运算放大器的反 馈电阻,引脚Rfb则是 这个反馈电阻瑞,接 到运算放大器的输出 端。

多路波形发生器的设计与实现

多路波形发生器的设计与实现

多路波形发生器的设计与实现一、引言多路波形发生器是一种能够同时输出多种波形信号的电子设备,广泛应用于科学研究、工业控制、音频处理等领域。

本文将介绍多路波形发生器的设计和实现过程。

二、设计思路多路波形发生器的设计需要考虑以下几个方面:1. 信号源:需要选择合适的信号源,可以是数字信号处理器(DSP)、单片机(MCU)或者模拟电路。

2. 输出接口:需要选择合适的输出接口,可以是直流电压输出接口、交流电压输出接口或者数字信号输出接口。

3. 波形生成算法:需要选择合适的波形生成算法,可以是直接计算法、表格查找法或者插值法。

三、硬件设计1. 信号源:本文采用STM32F103C8T6单片机作为信号源。

该单片机具有丰富的外设资源和高性能,可以满足多路波形发生器的要求。

2. 输出接口:本文采用了直流电压输出接口和交流电压输出接口。

直流电压输出通过PWM模块实现,交流电压输出通过DAC模块实现。

3. 波形生成算法:本文采用了表格查找法。

将波形数据存储在查找表中,通过查找表的方式实现波形输出。

四、软件设计1. 系统框图:多路波形发生器的系统框图如下所示。

2. 程序流程:(1)初始化:设置时钟、GPIO口、PWM模块和DAC模块等。

(2)生成波形数据:通过表格查找法生成正弦波、方波和三角波等。

(3)输出波形信号:通过PWM模块和DAC模块输出波形信号。

五、实验结果本文设计并实现了一款多路波形发生器。

经过测试,该多路波形发生器能够同时输出正弦波、方波和三角波等多种类型的信号,并且输出精度高,稳定性好。

六、总结本文介绍了多路波形发生器的设计和实现过程。

通过选择合适的信号源、输出接口和算法,可以实现高精度、高稳定性的多路波形发生器。

未来,可以进一步优化算法,增加更多类型的信号输出,并且将其应用于更广阔的领域中。

多种波形发生器的设计与制作

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。

本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。

电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。

通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。

1、 设计任务设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。

图3-3-1 波形图设计要求:⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。

⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。

⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。

2、设计方案的选择由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。

属于环形多种波形发生器,原理框图可用3-3-2表示。

图3-3-2 多种波形发生器的方框图仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。

三角波可由方波通过积分电路来实现,选用一个积分电路来完成。

图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。

脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。

锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。

多波形信号发生器的设计与制作

多波形信号发生器的设计与制作

多波形信号发生器的设计与制作徐国库【摘要】:信号发生器采用模拟电子技术,由分立元件构成振荡电路和整形电路,产生各种波形。

本设计通过555定时器组成多谢振荡器输出方波,后通过积分变换电路产生周期恒定幅值可调的正弦波、钟形波、三角波。

【关键词】:555定时器积分变换电路稳压电路引言波形发生器是一种常用的信号源,广泛用于科学研究、生产实践和教学实践等领域。

如设计和测试、汽车制造、生物医药、传感器仿真、制造模型等。

传统的信号发生器采用模拟电子技术,由分立元件构成振荡电路和整形电路,产生各种波形。

它在电子信息、通信、工业等领域曾发挥了很大的作用。

但是采用这种技术的波形发生器电路结构复杂、体积庞大、稳定度和准确度较差,而且仅能产生正弦波、方波、三角波等几种简单波形,难以产生较为复杂的波形信号。

随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。

它扩展了波形发生器的功能,产生的波形也比以往复杂。

实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。

但由于微处理器的速度限制,这种方式的波形发生器分辨率较低,频率切换速度较慢。

从2007年2月到2007年4月,在系统研究国内外波形发生器的基础上提出了基于Matlab和FPGA技术的波形发生器,在FPGA内开辟高速存储器ROM做查询表,通过Matlab 获得波形数据存入ROM中,波形数据不断地,有序地从ROM中送到高速D/A转换器对存储器的波形数据进行转换。

因此只要改变FPGA中查找表数据就可以产生任意波形,因此该研究方法可以产生任意波形。

随着我国四个现代化和经济发展,我国在科技和生产各领域都取得了飞速的发展和进步,同时这也对相应的测试仪器和测试手段提出了更高的要求,而波形发生器已成为测试仪器中至关重要的一类,因此在国内发展波形发生器具有重大意义和实际价值。

例如,它能模拟编码雷达信号、潜水艇特征信号、磁盘数据信号、机械振动瞬变过程、电视信号以及神经脉冲之类的波形,也能重演由数字示波器捕获的波形等。

多路波形发生器制作

多路波形发生器制作

项目四电子工艺应用技能实训任务单4一、任务布置1.会分析多路波形发生器电路的工作原理。

2.利用万用表对贴片元件的性能进行检测。

3.会利用Protel DXP 2004绘制多路波形发生器电路原理图与PCB图。

4.会利用丝印法制作多路波形发生器电路板。

5.会利用再流焊工艺对贴装元器件进行自动焊接。

6.会对多路波形发生器进行电路调试。

二、相关知识1.基本电路功能多路波形发生器用于产生多种稳定频率方波信号的,适用于实验室、工程测量等信号源。

2.电路组成电路由晶振电路、分频器电路、输出电路组成。

电路工作原理:接通电源,晶振构成的振荡器产生32768HZ的正弦波输入到由CD4060构成14级分频器中从不同输出端输出不同的方波信号:262.144KHz -256HZ的方波信号,74HC393分频器输出128HZ-1HZ的方波信号。

共有18路方波信号,选用其中的4路方波(8Hz,4Hz,2Hz,1Hz)输出驱动NPN三极管,点亮4个LED。

三、技能要点1.印刷电路板设计(1)通过团队讨论制定设计方案,并利用Multisim 10进行仿真实验。

(2)利用Protel DXP绘制多路波形发生器电路原理图。

(3)根据需要建立元件库,元件参数见原理图4.1元件标示。

(4)元件标示清楚,布局位置合理、美观。

图4.1 多路波形发生器参考原理图(5)此印制电路板设计成单面贴装元件的双面板,并且采用贴片元件,板子外形尺寸为长50mm ,宽50mm。

(6)对于CD4060、74HC393的引脚功能等,可通过网络查阅其技术文档。

(7)信号线线宽0.5mm,电源线线宽0.8 mm,地线线宽1 mm。

3.印制电路板制作采用丝印方式制作印制电路板。

主要步骤有:下料→钻孔→沉铜→图形转移→电铜电锡→蚀刻退锡→检验→印阻焊→印字符→喷锡→测试→成品检查。

4.贴片元器件焊接①手工丝印焊锡膏②手工贴片③再流焊焊接5.调试利用数字万用表、直流稳压电源、示波器等进行调试,并对出现的故障进行检修。

波形发生器电路设计与制作

波形发生器电路设计与制作

波形发生器电路设计与制作波形发生器电路设计与制作是电子技术中非常重要的一部分。

波形发生器主要用于产生各种类型的电子信号,如正弦波、方波、三角波、锯齿波等,广泛应用于实验室、电子产品测试和各种电子系统中。

在这篇文章中,我们将介绍波形发生器电路的设计与制作过程。

接下来,我们需要选择合适的电子元件来实现波形发生器电路。

根据波形类型的不同,我们需要选择不同的电子元件。

例如,要产生正弦波,可以使用一个运放芯片和一组电阻、电容来实现。

在电路设计过程中,我们需要考虑一些重要的因素,如频率稳定性、波形失真、输出幅度等。

频率稳定性是指波形发生器电路产生的波形频率在一定范围内保持稳定。

为了提高频率稳定性,我们可以使用一个稳压电源和一个精度较高的电容。

波形失真是指波形发生器产生的波形与理想的波形之间的偏差。

为了减小波形失真,我们可以使用滤波电路对波形进行滤波处理。

输出幅度是指波形发生器输出的信号的幅度大小。

为了调整输出幅度,可以使用电阻分压电路或放大电路。

在电路设计完成后,我们可以进行电路调试和测试。

首先,我们需要验证电路的基本功能,即产生所需的波形类型。

然后,可以使用示波器和频谱分析仪等测试设备,对波形发生器电路进行性能测试。

电路制作是波形发生器电路设计的最后一步。

在制作电路时,我们需要选取合适的电子元件和电路板,并按照电路设计图进行布线和焊接。

制作完成后,我们需要进行电路测试和性能调试。

综上所述,波形发生器电路设计与制作是一项繁琐的工作,但在电子技术中具有广泛应用。

通过合理选择电子元件、合理设计电路和仔细调试电路,我们可以获得高质量的波形发生器电路。

希望通过本文的介绍,读者能够对波形发生器电路的设计与制作有所了解。

中国地质大学(北京)电子小学期实习报告——多路波形发生器及测频电路制作

中国地质大学(北京)电子小学期实习报告——多路波形发生器及测频电路制作

一、实习任务书(一)设计任务1、完成信号发生器的软件仿真及硬件制作。

信号发生器要产生矩形波、三角波、正弦波三种波形。

2、对上述三种波形进行自动循环切换输出,具有自动等时间间隔选通输出给同一个测试端,并在示波器上显示相应输出波形,且显示信号的频率。

(二)技术指标1、每种波形的频率范围:10Hz—9999Hz;2、至少产生三种波形,例如矩形波,三角波,正弦波等;3、三角波和正弦波的峰值≥2V,矩形波的峰值3V~5V;4、具有自动选通功能;5、显示读数稳定,每种波形显示时间为10秒;6、电路布局整体美观、合理。

(三)设计流程1、调研、查找并收集资料进行单元电路设计与计算;2、总体设计,用软件画出电路框图;3、列元器件明细表;4、电路焊接、调试;5、撰写设计报告。

(四)原理框图介绍2二、实习任务分析及设计思路(一)实习任务分析本次实习主要任务为设计制作组合函数信号发生器及测频电路,根据所给任务书分析可知,组合函数信号发生器部分应使用模拟电路部分基本知识,通过反相输入的滞回比较器和RC电路组成的矩形波发生电路产生矩形波,再利用积分电路将所产生的矩形波积分后可得到三角波,最后把三角波输入到二阶低通滤波电路中即可得到正弦波;为了实现选通功能,即三种波形每隔10秒交替出现,则应使用选通开关以及由多谐振荡器、十进制计数器等构成的时序控制选通电路;测频电路部分则应采用由高电平1秒的多谐振荡器组成的555电路,以及由十进制计数器、锁存器以及显示译码管构成的数字显示电路。

由以上分析可知,本次实习所应制作的综合电路可分为以下几部分基本模块,即:波形发生电路、时序控制选通电路、测频电路。

将以上几部分模块电路合理连接,即可以得到满足实习任务要求的电路。

(二)基本模块电路设计思路1、波形发生电路(1)采用集成运算放大器同时实现矩形波、三角波以及正弦波三种波形的输出;(2)使用集成运算放大器构成电压跟随器,将产生的矩形波经过555定时器组成的施密特触发器后再接入测频电路以防止由于负3载过大而使三种波占空比发生变化的问题出现;2、时序控制选通电路(1)利用一片555定时器构成周期为一秒的多谐振荡器,作为后续三十进制计数电路的时钟信号;(2)使用两片74LS160构成三十进制计数器,而该计数器的十位A、B端口输出与选通开关相连作为选通开关的驱动信号,即可使输出波形10秒交替变化;(3)将三十进制计数器与译码电路及显像译码管相连接,得到可以显示时间的计数显示器,以确定波形选通变化在时间上的正确性。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

多路波形发生器及测频电路制作
日期:2014.7.30
1 实验目的与意义
此次小学期是运用本学期所学模电和数电的知识进行实践,来制作多路波形发生器及测频电路。

通过小学期的实习来进一步稳固所学知识和了解仿真与实际
的差距,从而达到结合实际学有所用。

2 实验内容和步骤
2.1
1、完成组合函数信号发生器的软件仿真及硬件制作。

2、对上述三种波形进行自动巡环切换输出,具有自动等时间间隔选通输出给同一个
测试端,并在示波器上显示相应输出波形。

2.2实验指标
1、每种波形的频率范围:10Hz—999Hz;
2、至少产生三种波形,例如矩形波,三角波,正弦波等;
3、三角波和正弦波的峰值≥2V,矩形波的峰值3V~5V;
4、具有自动选通功能;
5、显示读数稳定,每种波形显示时间为10秒;
6、电路布局整体美观,合理。

2.3实验思路
图1
2.4实验原理
1、波形发生器
图2
通过中间的积分起震器产生振荡反馈至第一个运放激励产生方波,然后方波经过积分电路产生三角波,三角波再经过第三个滤波器产生正弦波。

其中R7与C1决定了起震频率。

把滞回比较器和积分器首尾相接形成正反馈闭环系统,如图所示,则比较器1A输出的方波经积分器2A积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。

图为方波、三角波发生器输出波形图。

由于采用运放组成的积分电路,因此可实现恒流充电,使三角波线性度大大改善。

电路振荡频率:f
0=R
2
/4R
1
(R
f
+R
w
)C
f
方波幅值:U’
om =±U
Z
三角波幅值:U
om = U
Z
R
1
/R
2
调节RW可以改变振荡频率,改变比值 R
1/R
2
可调节三角波的幅值
图6
2、555定时器
首先利用555定时器和单稳态解法直接产生1秒的高电平,这运用于频率计时。

第二快板同第一块一样产生1秒高电平然后用160分频产生10秒,运用于倒计时。

2.5元件选择
表1
元器件名称元器件数量
TL074 3
74LS160 6十进制计数器
74LS48 6七线译码器
NE555 2
74LS04 2
74LS02 1
共阴显示管 6
4052 1模拟开关
电阻、电容、稳压管、二极管、滑动变阻器若干个。

3调试过程
3.1 调试步骤
3.1.1 连接波形发生器,调试电阻电容使三种波形的频率与幅值满足实习要求,并保证波形没有严重失真现象。

3.1.2 连接555定时器,调节阻值与电容的大小使得第一个定时器产生一秒的高电平。

第二个555定时器满足一个周期为一秒钟,再用160分频使得一周期为十秒。

3.1.3 连接数电使得时钟信号得以控制数码管按照要求显示所得数据。

3.2 调节过程以及出现问题
3.2.1 波形发生器的调节:在一开始连接过后波形没有输出。

经过学长与老师的指导后的到了以下几点:1、检查波形时先用试验台的波形发生器来检查起震器,再逐一检查波形。

2、频率不能过低,如果过低实现中电容就等于短路,从而导致波形没有产生。

3.2.2 模电数电的连接:在单独连接完两部分电路后进行总和时,出现了波形的严重失真。

最后在多次的试验后发现用电阻进行耦合后波形就没有失真了。

3.2.3 波形选通:先开始用的4066经过多次试验后发现无法进行选通。

经过查阅资料后发现4066无法在次选通选择波形,我们就换了4052进行选通。

但发现波形虽然没有失真但是无法选通。

当不经意间去除+12伏电压,再把-5伏改为-12伏就能选通了。

4 实验结果及分析
4.1 实验结果
4.1.1方波
4.1.2三角波
4.1.3正弦波
4.2 实验分析
在整个试验结束后发现试验有以下需要注意的部分:
1、连接电路时最好做好电路板背面的连接图。

一方面方便连接,另一方面方便检查。

2、用电烙铁焊接时需要把焊锡覆盖焊盘,尽量保证没有虚焊。

3、但没有实验结果时,一方面检查连接,另一方面别怕麻烦积极改正和查阅资料。

相关文档
最新文档