武汉理工数电实验四人抢答器---基于D触发器的设计

合集下载

EDA课设 四路抢答器武汉理工

EDA课设 四路抢答器武汉理工

目录摘要.................................................................................................................... 错误!未定义书签。

1.课程设计目的 (3)2.系统功能及要求 (3)3.系统设计思路 (3)4.各模块芯片展示及说明 (4)4.1抢答模块 (4)4.2计分模块 (5)4.3计时模块 (5)4.4译码模块 (6)5.各模块程序及波形图 (6)5.1抢答器 (6)5.2计分模块 (8)5.3计时模块 (13)5.4译码模块 (14)6.总电路图及仿真波形图 (16)7. 总结与体会 (17)参考文献 (19)摘要EDA及电子设计自动化,是指使用计算机自动完成电子系统的设计,应用EDA技术进行电子产品的设计已成为当今电子工程师的一项基本技。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

VHDL语言:超高速集成电路硬件描述语言,是IEEE的一项标准设计语言。

它源于美国国防部提出的超高速集成电路计划,是ASIC设计和PLD设计的一种主要输入工具。

本次课程设计的目的是在学习完EDA课程的基础上,运用EDA的知识即VHDL语言,编写程序来实现此次我设计的电子抢答器所要实现的功能,不仅会编写程序,还要能够在实验室中检测我所编写的程序是否能够达到预期的目的。

关键词:EDA技术;VHDL语言;多路抢答器;功能模块基于EDA的多功能音乐抢答器1.课程设计目的(1)通过课程设计使学生能熟练掌握一种EDA软件的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程,为以后进行工程实际问题的研究打下设计基础。

(2)通过课程设计使学生能利用EDA软件进行至少一个电子技术综合问题的设计,设计输入可采用图形输入法或VHDL硬件描述语言输入法。

数字电子技术课程设计-四人抢答器电路设计

数字电子技术课程设计-四人抢答器电路设计

数字电子技术课程设计-四人抢答器电路设计
四人抢答器电路是一种应用电子技术检测问答游戏中玩家反应速度的设备,它的电路
设计需要标准的电子元件、稳压电源以及电路逻辑运算模块等部件,由此可构成一个能够
检测四人抢答时的谁先按键的简单电路。

该电路设计方案的基本原理是,将每个参与游戏的玩家所使用的按键连接至电路的输
入级,通过判断输入的按键信号来决定答题者,该按键信号由外加个按键模块(如电容触
摸按键模块)来实现,装载在此按键模块中的电容将检测按键被按下时输出一个高电平信号,故当A、B、C、D四名玩家同时按下四个按键时,模块中的四个电容就会依次输出四
个高电平信号,然后将这四个高电平信号输入到电路的门驱动器(如电子开关模块)中,
其门驱动器根据输入的四个高电平信号的先后顺序来判断出哪个按键是最先按下的,从而
实现对四人抢答结果的检测。

同时,为使检测准确无误,电路中加入了定时电路模块,其定时电路能够设置游戏的
抢答时间,当总时间到达终点时,控制器模块被激活并输出一个控制信号,该信号则可以
激活LED指示灯或声音报警模块,以提示游戏答题结束。

此外,为了使四人抢答电路设计能可靠地工作,还需加入多功能控制器的模块,该控
制器可以根据已设置的抢答时限来控制游戏的进程,并在游戏结束时完成游戏结果的输出
以及其它各种复位等操作,同时,该控制器还可以实现自动重启等功能,以确保四人抢答
器电路设计能够实现正常运行。

由此可见,四人抢答器电路设计是一个综合性很强的电路设计专题,既需要借助数字
电子技术,同时又需要多个电子模块的配合来保证最后的抢答结果准确准确的检测和显示,并且各个模块之间的工作都要通过控制器实现良好的协调。

四人抢答器设计报告

四人抢答器设计报告

四人抢答器设计报告一、设计任务及要求1、设计用于竞赛的四人抢答器(1)有多路抢答器,台数为四;(2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3)能显示超前抢答台号并显示犯规报警;2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响起,直至该路按键放松,显示牌显示该路抢答台号;3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路;4、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。

二、四人抢答器框图及设计说明系统复位后,反馈信号为一个高电平,K1、K2、K3、K4输入有效。

当抢答开始后,在第一位按键后,保持电路低电平,同时送显示电路,让其保存按键的台号并输出,同时反馈给抢答台,使所有抢答台输入无效,计时电路停止;当在规定的时间内无人抢答时,倒计时电路输出超时信号;当主持人开始说话未说完有人抢先按键时,显示犯规信号。

当选手回答正确时加分,回答错误时减分。

由主持人控制加减分数。

三、设计思路:根据设计框图和设计要求,本次实验可以采用模块化设计方法来实现智力竞赛四人抢答器。

将抢答器划分为抢答鉴别保持模块,倒计时模块,记分模块和判断显示模块。

再利用元件例化语句将这四个模块组成总的抢答器的设计电路。

选用模式五进行程序的下载。

四、VHDL语言设计与分析1、鉴别模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jianbie isport(nu1,nu2,nu3,nu4:in std_logic;clk,en,rst:in std_logic;warn:out std_logic;back:buffer std_logic;s:out std_logic_vector(3 downto 0));end jianbie;architecture jianbiebeh of jianbie issignal num,warnd:std_logic;signal cnt:std_logic_vector(2 downto 0);beginnum<=nu1 or nu2 or nu3 or nu4;p1:process(rst, nu1,nu2,nu3,nu4,back) --判断抢答信号beginif rst='1' then back<='1';s<="0000";elsif back='1' thenif nu1='1' then s<="0001";back<='0'; --一号台抢答,输出S为1 elsif nu2='1' then s<="0010";back<='0'; --二号台抢答,输出S为2elsif nu3='1' then s<="0011";back<='0'; --三号台抢答,输出S为3 elsif nu4='1' then s<="0100";back<='0'; --四号台抢答,输出S为4 else back<='1'; s<="0000"; --无人抢答,输出S为0end if ;end if;end process p1;p2:process(clk,en,back,rst,cnt)beginif rst='1' then cnt<="000";warnd<='0';elsif clk'event and clk='1' thenif en='0' and back='0' thenif cnt<"111" then warnd<=not warnd; cnt<=cnt+1;else warnd<='0';end if; end if;end if;end process p2;warn<=warnd;end jianbiebeh;鉴别保持模块由两个进程组成,进程一主要用于鉴别强大信号,进程二用于鉴别是否为超前抢答,若是超前抢答,则输出报警信号。

数字电子技术课程设计报告(四人抢答器)

数字电子技术课程设计报告(四人抢答器)

数字逻辑电路课程设计报告系(部):三系专业:通信工程班级: 12 通信 2 班姓名:杨超学号: 20120306201 成绩:指导老师:李海霞开课时间: 2013-2014 学年 2 学期一、设计题目数字式竞赛抢答器二、主要内容1、分析设计题目的具体要求2、完成课题所要求的各个子功能的实现3、用multisim软件完成题目的整体设计三、具体要求(1) 在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。

4名参赛者编号为:1、2、3、4,按钮的编号与选手的编号对应,也分别为1、2、3、4。

(2) 设置一个系统清零和抢答控制开关K=space(该开关由主持人控制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。

(3) 抢答器具有一个抢答信号的鉴别、锁存及显示功能。

即参赛者的开关中任意一个开关被按下,锁存相应的编号,并在抢答显示器上显示该编号,同时扬声器发声。

此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。

(4) 抢答器具有定时(9秒)抢答的功能。

当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。

参赛者在设定时间(9秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5) 如果抢答定时间截止,却没有选手抢答时,本次抢答无效。

系统扬声器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

四、进度安排第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。

第二天:消化课题,掌握设计要求,明确设计系统全部功能,图书馆查阅资料。

第三天:确定总体设计方案,画出系统的原理框图。

第四天:绘制单元电路并对单元电路进行仿真。

第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。

数字电子技术-4人智力竞赛抢答器

数字电子技术-4人智力竞赛抢答器

4人智力竞赛抢答器内容摘要:该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。

选手抢答时,显示选手号,同时蜂鸣器响0.5秒,倒计时停止。

该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。

一、设计内容及要求:1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。

2. 设计要求:14名选手编号为;1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

2给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的开始。

3抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。

优先抢答选手的编号一直保持到主持人将系统清零为止。

4抢答器具有定时(9秒)抢答的功能。

当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。

参赛选手在设定时间(9秒)内抢答,抢答有效,扬声器发出音响,音响持续0.5秒,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

5如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。

系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

6石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。

7二、电路工作原理:电路由脉冲产生电路,锁存电路,编码及译码显示电路,倒计时电路和音响产生电路组成。

当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时音响产生。

主持人开始时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。

三、选定系统设计方案,画出系统框图4人智力竞赛抢答器系统框图如下所示四、单元电路设计参数计算及元器件选择1. 以锁存器为中心的编码显示电路。

课程设计报告(四人智力抢答器)

课程设计报告(四人智力抢答器)

智力竞赛抢答计时器一、设计要求与任务1设计任务智力竞赛抢答器是一名裁判员,他的任务是从若干竞赛者中确定最先抢答者,并要求参赛者在规定的时间里回答完问题。

本设计要求设计一个四人参加的智力竞赛抢答器,每个参赛者控制一个按钮,用按动按钮发出抢答信号;竞赛主持人另有一个按钮,用于将电路复位,竞赛开始后,先按动按钮者将对应的一个发光二级管点亮,此后其他三人再按动按钮对电路不起作用,同时电路具有回答问题时间控制功能,要求回答时间小于60秒(显示0~59),时间显示选用倒计时方式,当达到规定时间时给出警告(警告灯闪烁)。

2设计要求1)4名选手编号分别为1,2,3,4;各有一个按钮,按钮的编号与选手编号灯对应,也分别为1,2,3,4;2)给主持人设置一个控制开关按钮,用来控制系统清零(抢答显示灯,数码管灭灯)和抢答的开始。

3)抢答器具有数据锁存和显示功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即补锁存,并输入编码电器,并在抢答显示器上显示时钟倒计时,封锁其他选手抢答。

直到抢答倒计时回到“0”后,回答时间到,由主持人将系统清零;4)抢答器具有定时(60秒)回答功能,当主持人按下开始按钮,并有选手第一时间抢答时,定时器开始计时,并在数码管上显示倒计时时间,倒计时结束时,回答时间到,蜂鸣器音响持续1秒,由主持人手动清零,进入下一道题的抢答环节;5)计时器采用频率为1HZ的脉冲信号作为定时计数器的CP信号,抢答电路中74LS161,CP则采用1KHZ,观察较为明显些。

二、硬件电路设计及描述由于设计任务是倒计时器,所以要用到减法器,又因为是十进制的所以我选择的主要芯片是74LS192两片,抢答功能,我利用了74LS161的预置功能,若有选手抢答时,74LS161不断反馈,不断循环预置功能,预置后,其他选手再按下抢答开关,也显示不出来,被封锁;接下来是实现显示的功能,我用的是74LS48芯片和共阴极七段显示器个两片,再根据需要我还用了74LS00(与非门)、74LS04(非门)。

数电课程设计四人智力竞赛抢答器

数电课程设计四人智力竞赛抢答器

竞赛抢答器要求:设计一个供四人参赛的抢答器,能准确分辨、记录第一个有效按下抢答键者,并用光指示;主持人没有宣布抢答开始时,抢答不起作用。

主持人宣布抢答开始时,按“开始”键,抢答开始,同时启动计时器计时;拓展要求:外加一个计时器,计时器计时采用正计数的方式,以加强现场气氛,增加紧迫感。

若预定时间内无人抢答,自动给出信号停止抢答,以免冷场。

倒计数定时器的时间精确到秒,最多为两分钟,一旦超出限时,则取消抢答权。

设计提示:关键是要存住第一抢答者的信息,并阻断以后抢答者的信号。

可用集成的多组触发器或锁存器辅以逻辑门实现;;计时器可用加法计数器完成;各单元电路分别设计、调试,最后合成。

目录1. 前言 ................................ - 1 -2. 总体方案设计 ............................ - 3 -2.1 方案说明. ................................................ - 3 -2.2 方案优点............................. - 4 -3. 单元模块设计 ............................ - 4 -3.1 抢答器电路........................... - 5 -3.2 计时器,数字显示器电路....................... - 8 -4. ......................................................................... 主要器件介绍- 9 -5. ......................................................................... 系统功能调试- 10 -6. 总结与体会 ............................ - 11 -【参考文献】 (18)附录................................. - 11 -1 前言关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。

4人抢答器课程设计

4人抢答器课程设计

4人抢答器课程设计一、课程目标知识目标:1. 学生能够理解抢答器的电路原理,掌握基本的电子元件功能和使用方法。

2. 学生能够描述抢答器的工作流程,并解释其背后的科学原理。

3. 学生能够运用所学的电子知识,分析并解决抢答器在实际使用过程中可能遇到的问题。

技能目标:1. 学生通过小组合作,能够设计并搭建一个简单的4人抢答器电路。

2. 学生能够运用逻辑思维和问题解决能力,对抢答器进行调试和优化。

3. 学生能够在规定时间内,通过操作抢答器展示自己的学习成果。

情感态度价值观目标:1. 学生培养对电子科技的兴趣,激发探索精神和创新意识。

2. 学生在团队合作中,学会相互尊重、沟通协作,培养团队精神和责任感。

3. 学生通过实践活动,增强自信心,培养克服困难的意志品质。

课程性质:本课程为实践性、探究性的电子技术课程,注重理论知识与实际操作相结合。

学生特点:四年级学生具备一定的电子知识基础和动手能力,好奇心强,喜欢探索新事物。

教学要求:教师需引导学生通过小组合作、动手实践等方式,自主探索抢答器的工作原理,注重培养学生的创新能力和团队合作精神。

同时,关注学生的学习进度和情感态度,确保课程目标的实现。

在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。

二、教学内容1. 电子元件基础知识:介绍抢答器中涉及的电子元件,如按钮、LED灯、晶体管、继电器等,结合教材相关章节,让学生理解各元件的功能和电路符号。

2. 电路原理:讲解抢答器的电路设计,包括基本电路图、电路连接方式、工作原理等,结合教材中的电路知识,让学生掌握抢答器电路的构成。

3. 制作与调试:引导学生分组进行抢答器制作,按照教学大纲逐步完成电路搭建、调试和优化,确保学生能够将理论知识应用于实际操作。

4. 抢答器工作流程:分析抢答器的工作流程,包括抢答、计时、显示等环节,结合教材内容,让学生深入理解抢答器的工作原理。

5. 故障分析与排除:教授学生如何分析抢答器可能出现的故障,掌握基本的故障排除方法,提高学生的问题解决能力。

四人抢答器答

四人抢答器答

三、实验仪器
(1)数字电子实验箱 (2)74LS74D触发器 (3)74LS20与非门 (4)开关,导线若干 (5)时钟脉冲信号
四、实验电路图
五、实验原理
(1)与非门 A.逻辑表达式: B.与非门的特点是有“0”出“1”,全“1”出“0”,即两个输 入端至少有一个为低电平时输出为高电平;当两个输入端全为高 电平时输出为低电平。 C.
六、实验内容
4名选手编号1,2,3,4。分别持有一个抢答器J1, J2,J3,J4。主持人持有一个总开关,当总开关 打开,抢答开始。假如1号选手抢答成功(1号灯 亮),则其他人再按下抢答器时,抢答不成功(只 有1号灯亮)。同理,当2,3,4号选手首先按下 抢答器,其他人的抢答器就失去作用,无法实现抢 答功能,即同时只有一个人抢答成功。
ห้องสมุดไป่ตู้
七、状态转换图
谢谢大家
努 力 才 可 能 成 功
四人抢答时序逻辑设计演示
通信16-1 王汉 郝晨 孙伟嘉
一、设计目的
在生活常见的知识竞赛和综艺节目中,常设置
抢答环节;本次设计用74LS74D触发器和门电路等 模拟四人抢答器的时序逻辑功能。
二、设计思路
通过设计实现如下功能:主持人按下复位按钮 后,所有人均可抢答,以判断抢答优先权;抢答开 始前,主持人按下开始按钮开始抢答,最快按下按 钮的选手灯亮;其他选手再按下,电路对灯不作用。
(2)或门 A.逻辑表达式: B.或门的特点是有“1”出“1”,全“0”出“0”,即两个输入 端至少有一个为高电平时,输出为高电平;当两个输入端全为低 电平时输出为低电平。 C.
(3)74LS74 A.工作原理:SD和RD接至基本RS触发器的输入端, 它们分别是预置和清零端,低电平有效。当SD=0且 RD=1时,不论输入端D为何种状态,都会使Q=1, Q=0,即触发器置1;当SD=1且RD=0时,触发器的 状态为0,SD和RD通常又称为直接置1和置0端。 B.

抢答器(D触发器方案)

抢答器(D触发器方案)

二, 电路原理和组成框图
三, 电路原理参考图1
VCC VCC R7 511 R8 511 R9 511 R10 511 24 J1 Key = A J3 Key = B J4 Key = C GND J5 Key = D 27 J2 VCC Key = Space 21 22
&
5V U1
&
2 LED2 3 LED3 4
25S175D VCC 5V
5
0
SONALERT 200 Hz
14 R11 511
0 U2A 74LS00D
VCC
R1 1.00k 17
16 555_VIRTUAL Timer
OUT
RST DIS THR TRI
15
&
18
R2 1.00k
U2B 74LS00D
25
26
23
74LS175D VCC 5V
GND R11 511 GND
14
&
GND
U2A 74LS00D 1 V1 U2B 74LS00D 1MHz 5V GND GND
15
&
三, 电路原理参考图2
VCC VCC R7 511 R8 511 R9 511 R10 511 24 J1 Key = A J3 Key = B 0 27 J4 Key = C J5 Key = D J2 VCC Key = Space 21 22
CON GND
20 0.1nF C 19 0.47nF Cf
0

&
5V U1
1 9 4 5 12 13 ~CLR CLK 1D 2D 3D 4D 1Q ~1Q 2Q ~2Q 3Q ~3Q 4Q ~4Q 2 3 7 6 10 11 15 14

四人竞赛抢答器实验报告

四人竞赛抢答器实验报告

数电实验报告:侯婉思专业:通信工程班级:1111学号:11387121指导老师:田丽娜四人竞赛抢答器实验报告一.前言现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。

对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。

抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。

选手们都站在同一个起跑线上,体现了公平公正的原则。

本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。

该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。

该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。

主持人通过控制开关使抢答器达到复位的功能。

二.实验目的1. 学习并掌握抢答器的工作原理及其设计方法2. 熟悉各个芯片的功能及其各个管脚的接法。

3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。

三.设计任务与要求1、设计任务设计一台可供4名选手参加比赛的竞赛抢答器。

选手抢答时,数码显示选手组号。

2.设计要求:抢答器的基本功能:1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。

2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。

3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

简言之,有选手按下时,显示选手的编号。

同时,其他人再按下时电路不做任何处理。

也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。

四人智力竞赛抢答器电路原理及设计

四人智力竞赛抢答器电路原理及设计

目录一、设计目的 (2)二、设计任务与要求 (2)1、设计任务 (2)2、设计要求 (2)三、四人智力竞赛抢答器电路原理及设计 (3)1、设计方案 (3)2、系统框图 (3)3、方案比较 (4)方案1 (4)方案2: (4)方案3: (4)4、单元电路设计及元器件选择 (4)(1)抢答电路 (4)(2)定时电路 (7)(3)报警电路 (9)(4)时序控制电路 (10)(5)元器件列表 (12)5、四路抢答器总电路图 (13)四、设计过程中的问题和解决办法 (13)五、设计成品的优点与不足 (14)六、心得体会 (14)七、实物图 (15)1、正面 (15)2、反面 (16)四人智力竞赛抢答器一、设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计任务与要求1、设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。

用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。

选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。

2、设计要求(1)4名选手编号为:1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。

(3)抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持人将系统清零为止。

(4)抢答器具有定时(9秒)抢答的功能。

当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。

参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

四人智力竞赛抢答器实验报告

四人智力竞赛抢答器实验报告

四人智力竞赛抢答器设计一台可供4名选手参加比赛的智力竞赛抢答器。

用数字显示抢答倒计 时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。

选手抢答时,数 码显示选手组号,同时蜂鸣器响1秒,倒计时停止。

(1) 4名选手编号为:1, 2, 3, 4。

各有一个抢答按钮,按钮的编号与选手 的编号对应,也分别为1,2,3,4。

(2) 给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯) 和抢答的开始。

(3) 抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按 钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响 提示,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持 人将系统清零为止。

(4) 抢答器具有定时(9秒)抢答的功能。

当主持人按下开始按钮后,定时 器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器 响,音响持续1秒。

参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声 器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号, 定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5) 如果抢答定时已到,却没有选手抢答时,本次抢答无效。

系统扬声器报 警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器 显示0。

⑹ 可用石英晶体振荡器或者 555定时器产生频率为1H Z 的脉冲信号,作 为定时计数器的CP 信号。

数字抢答器总体方框图如图11、1所示为总体方框图。

其工作原理为:接通电源后,主持人将开关 拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;:掙人住制绷开关电S4场冲U 覷生戟理电■i:1■i ■i J♦・图11、1数字抢答器框图主持人将开关置开始"状态,宣布"开始"抢答器工作。

定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。

数电课程设计--- 四人竞赛抢答器的设计

数电课程设计---  四人竞赛抢答器的设计

数电课程设计--- 四人竞赛抢答器的设计目录摘要 (4)第二章.电路设计 (5)2.1 设计要求 (5)2.1.1设计背景 (6)2.1.2掌握时钟电路的作用及基本构成 (7)2.1.3 了解组合逻辑电路掌握组合逻辑电路的设计及触发器的使用 (7)2.2 四人智力竞赛抢答器的设计原理电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。

当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时产生音响。

主持人宣布开始抢答时,倒计时电路启动由30计到0,如有选手抢答,倒计时停止。

电路系统结构如图2-1: (8)2.3单元电路分析 (9)2.3.1选手开关 (9)2.3.2主持人开关 (9)2.3.3触发锁存电路 (9)2.3.4抢答电路如图2-2: (10)2.2.5 74LS192简介............................................................................... .. (7)2.4整体电路 (14)2.4.1运行情况 (14)2.4.2电路图封装及电路板仿真结果如图2-7: (15)第三章.总结与体会 (16)3.1结论: (16)3.2心得 ; (16)第四章、答辩记录及评分表 (17)参考文献 (19)附录: (20)四人竞赛抢答器的设计摘要应用Multisim 11.0软件对4路竞赛抢答器进行设计与仿真。

四人智力竞赛抢答器电路主要由抢答电路、倒计时电路、影响电路等构成。

结果表明,该方法仿真效果真实、准确,操作简单,成本低廉的特点。

随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易以及各种智力竞赛等。

在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大地兴趣。

而在竞赛中往往分为几组参加,这是针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,倒是有声响提示;对于抢答,要判定哪组先按键,为了公正,就要有一种逻辑电路抢答器作为裁判员。

武汉理工数电实验四人抢答器基于d触发器的设计

武汉理工数电实验四人抢答器基于d触发器的设计
---基于D触发器的设计 设计者:07电子郑学艳、李晓娴
抢答器设计原理图
发光二极管, 选手抢答时,
对应灯亮
将Q0~Q1~Q2~Q3~ 与非,当没人抢答
时,与非门的输出 为0,当有人抢答
时,输出为1
内集成四个 D触发器, 当D端输入
高电平时对 应Q端输出
高电平
将非门的输出信号 与CP进行与非, 来控制CP脉冲是
制作流程
根据原理图画 protel原理图
建立元器件的 封装
生成PCB文件
焊接原器件、 完成作品
制作PCB电路 板
编辑PCB文件, 进行布线
Protel中的原理图
Protel中元器件的封装
74LS175
74LS00和74LS20
555
单刀双掷开关
发光二极管
PCB文件
PCB电路图
10 厘 米
BEFORE
第一次
8 厘 米
失败之处:线太细, 布局太乱,焊点太小
第二次
失败之处: 封装错误, 中间空隙 太大,浪 费材料, 布局太乱
16 厘 米
成品图
选手开关
电源开关 裁判
使用说明
本抢答器为四人抢答器,使用三节七号电池供电,当 不使用时,将电源开关断开,以免浪费电池;
开关SC为主持人控制开关,不允许抢答时,开关掷到 复位端,开始抢答时,开关掷到开始端;
555定时器连成多谐振荡器,为D触发器提供CP脉冲;
抢答器所使用的器件
74LS175(1个)------集成了4个D触发器的集成块 74LS20(1个)-------四输入端与非门 74LS00(1个)-------两输入端与非门 555定时器(1个) 发光二极管(4个) 单刀双掷开关(5个) 单刀单掷开关(1个) 0.01uF电容(2个) 1.6K电阻(4个)、5.1K电阻(1个)

数字电子技术课程设计(四人抢答器)

数字电子技术课程设计(四人抢答器)
[8] 周南良.数字逻辑.长沙:国防科技大学出版社,1992
[9] 阎 石. 数字电子电路(第六版).
指导教师
2019年 3月27号
1)
随着经济水平的不断提高,当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,人们在物质文明得到满足的同时更注重精神文明的追求,各种节目不断涌现,提高节目的质量尤为重要。本次设计是针对活动中用到的抢答器而设计的。抢答器是为竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。通过抢答和必答两种答题方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。
(4)有抢答信号输入,即开关S1-S4中的任意一个开关被按下时,对应的LED灯变亮,此时再按其它任何一个开关被按下均无效,指示灯依旧“保持”第一个开关按下时所对应的状态不变。
3)
1.
方案一:
此方案用到四个74ls04非门和四个74ls20与非门电路,电路较好设计,但是缺点在于没有主持人复位按钮。
方案二
现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场本文设计的抢答器,电路简单,成本较低,操作方便,灵敏可靠,具有较高的推广价值。
抢答器在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示手段指示出第1抢答者[1]。本论文设计宗旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
每次抢答结束后,主持人须将开关掷到复位端下次抢
答开始时,再将开关掷到开始端;
谢谢!
-----07电子李晓娴、郑学艳
抢答器所使用的器件
74LS175(1个)------集成了4个D触发器的集成块
74LS20(1个)-------四输入端与非门 74LS00(1个)-------两输入端与非门
555定时器(1个)
发光二极管(4个) 单刀双掷开关(5个) 单刀单掷开关(1个) 0.01uF电容(2个) 1.6K电阻(4个)、5.1K电阻(1个)
---基于D触发器的设计 设计者:07电子郑学艳、李晓娴
抢答器设计原理图
发光二极管, 选手抢答时, 对应灯亮
将Q0 Q1 Q2 Q3 与非,当没人抢答 时,与非门的输出 为0,当有人抢答 时,输出为1
~
~
~
~
内集成四个 D触发器, 当D端输入 高电平时对 应Q端输出 高电平
将非门的输出信号 与CP进行与非, 来控制CP脉冲是 否起作用
制作流程
根据原理图画 protel原理图 建立元器件的 封装 生成PCB文件
焊接原器件、 完成作品
制作PCB电路 板
编辑PCB文件, 进行布线
Protel中的原理图
Protel中元器件的封装
74LS175 74LS00和74LS20 555
发光二极管
单刀双掷控制开关, 抢答时接高电平, 不抢答时接低电 平
主持人控制开关, 复位时接地,抢 答时接高电平
555组成的 多谐振荡 器
抢答器设计原理
当给D触发器输入高电平时,同相输出端输出高电平,
为二级管提供电压,使其发光,同时反相输出端输出 低电平; 4个D触发器的反相输出端进行与非,当有人抢答时, 与非门输出高电平,再经过非门变成低电平,低电平 和CP脉冲与非,不论CP是什么,总是输出高电平,使 CP脉冲无效,起到抑制其他选手抢答的作用; 555定时器连成多谐振荡器,为D触发器提供CP脉冲;
BEFORE
第一次
失败之处:线太细, 布局太乱,焊点太小
8 厘 米
第二次
失败之处: 封装错误, 中间空隙 太大,浪 费材料, 布局太乱
16 厘 米
成品图
电源开关
裁判 选手开关
使用说明
本抢答器为四人抢答器,使用三节七号电池供电,当
不使用时,将电源开关断开,以免浪费电池; 开关SC为主持人控制开关,不允许抢答时,开关掷到 复位端,开始抢答时,开关掷到开始端; 开关S0—S3为选手开关,开始抢答后,选手将开关掷 到ON端为抢答,当此次抢答结束下次抢答开始前, 选手必须将开关掷到OFF端; 由指示灯显示哪位选手抢答成功;
相关文档
最新文档