出租车计费器的设计
18、出租车计费器的设计
十八、出租车计费器的设计(2人)设计说明:汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。
里程传感器由磁铁和干簧管组成,磁铁置于变速器涡轮上,每行驶100米,磁铁与干簧管重合一次,即输出一个脉冲信号,则10个脉冲/公里(设为P 3)。
里程单价(设2.1元/公里)可由两位(B 2=2、B 1=1)BCD 拨码开关设置,经比例乘法器(如J 690)后将里程计费变换成脉冲数P 1=P 3(1B 2+0.1B 1)。
由于P 3=10,则P 1为21个脉冲,即脉冲当量为0.1元/脉冲。
同理,等车计费也可以转换成脉冲当量,这需要由脉冲发生器产生10个脉冲/10分钟(设为P 4),如果等车单价为0.6元/10分钟(置B 4=0、B 3=6),经比例乘法器后将等车计费变换成脉冲数P 2=P 4(0B 4+0.1B 3)。
由于P 4=10,则P 2为6个脉冲,即得到相同的脉冲当量为0.1元/脉冲。
同理,起步价(设5元)也可以转换成脉冲数(P 0=501.05==当量单价个脉冲)或者将P 0作为计数器的预置信号(框图所示)。
最后行车费用转换成脉冲总数P=P 0+P 1+P 2,其结果用译码显示器显示。
1、基本部分(1)设计制作自动计费器,包括行车里程计费、等车时间和起步价三部分,用三位数码管显示,最大金额为99.9元。
(2)行车单价、等车单价、起步价可分别由拨码开关或拨码盘预置。
2、发挥部分(1)在车辆启动和停止时有音响提示。
(2)其它。
其它说明:行车里程可由玩具电机和光电(或磁铁和干簧管)转换器进行模拟。
二人完成基本部分(1)~(2)和发挥部分(1)难度系数均为1.2。
出租车自动计费器的设计原理及优化方案
出租车自动计费器的设计原理及优化方案引言:出租车自动计费器是现代出租车运营中必不可少的一个装置,它能够准确地计算乘客应付的费用,并提供便利的支付方式。
本文将通过介绍出租车自动计费器的设计原理以及优化方案,帮助读者更好地了解该装置并思考如何提高其功能和效率。
一、出租车自动计费器的设计原理1. 准确测量里程出租车自动计费器通常利用车辆上安装的里程传感器测量车辆行驶的里程,包括实时行驶距离和行驶时间。
2. 考虑计费标准出租车自动计费器会根据城市或地区的计费标准计算乘客的费用。
计费标准通常包括起步价、里程费和时间费等多个维度。
3. 考虑附加费用出租车自动计费器还会考虑一些额外的费用,如过路费、停车费和燃油附加费等。
这些附加费用通常会根据车辆行驶路径和实际发生的情况自动计算。
4. 提供支付方式现代出租车计费器通常支持多种支付方式,如现金支付、刷卡和移动支付等。
根据乘客的选择,计费器能够提供相应的支付方式,并记录支付信息。
二、出租车自动计费器的优化方案1. 提升计费的准确性为了提高计费的准确性,可以在出租车自动计费器中使用更为精准的里程传感器,并结合卫星定位系统(GPS)来实时监测车辆的位置和行驶路径。
这样可以避免计费器在复杂道路环境下的误差,并提供更准确的里程计算。
2. 优化计费标准考虑到不同地区和不同时间段的交通状况和需求,可以针对性地优化出租车计费标准。
例如,可以根据交通拥堵情况来调整时间费的计算标准,或者根据车辆类型和燃油消耗来调整里程费的计算标准,使得计费更加公平和合理。
3. 加强附加费用的计算和记录出租车自动计费器可以与城市交通管理部门的数据库相连接,实时获取过路费和停车费等附加费用的信息,并根据车辆行驶路径和实际发生情况自动计算。
同时,计费器应具备记录附加费用信息的功能,方便车主和乘客核查相关费用。
4. 引入更多支付方式随着电子支付的普及,出租车自动计费器可以引入更多的支付方式,如支付宝、微信等移动支付,并且支持二维码扫描或NFC技术进行支付。
出租车自动计费器设计原理与优化分析
出租车自动计费器设计原理与优化分析出租车自动计费器是出租车行业中常见的计费工具,它能够自动根据乘客乘坐的里程和时间来计算费用。
理解和优化这一计费器的设计原理对于提高出租车计费的准确性和公平性具有重要意义。
本文将探讨出租车自动计费器的设计原理和可能的优化方法。
首先,我们了解出租车自动计费器的设计原理。
通常,计费器通过与车辆的里程计和时间计时装置相连,来实时获取里程数和乘车时间。
它的基本计费方式是根据行驶里程和乘坐时间进行累计,并按照一定的费率进行计算。
计费器还可以应用一些附加费用,如过路费和停车费等。
在进行计费时,有几个关键因素需要考虑。
首先是里程计算,计费器需要准确获取起始点和终点之间的行驶里程。
这通常可以通过车辆的里程计和GPS技术来实现。
其次是时间计算,计费器需要准确记录乘车时间,通常使用车辆上的计时装置实现。
最后是费率计算,计费器应该能够根据制定的费率表对里程和时间进行计算,确保费用的公平性和准确性。
然而,目前一些出租车自动计费器存在一些问题和潜在的优化空间。
首先,计费器的精度可能存在误差。
这可能是由于里程计的不准确性、时间计时装置的不精确或者GPS定位误差等原因造成的。
为了提高计费的准确性,可以使用更精确的计量装置,例如使用更准确的里程计和精确的时间计时装置。
其次,计费器的费率可能不够公平。
费率的制定应该能够充分考虑不同乘车距离和时间段的差异性,以确保乘客在不同情况下获得公平的计费。
优化的方法包括根据乘车距离和时间动态调整费率,或者基于交通拥堵情况进行优惠政策。
此外,还有优化空间可以通过引入更多的计费因素来实现。
例如,可以考虑乘客数量、车内空调使用时间等因素,来进一步增加计费的准确性和公平性。
这样可以使计费更加细致化,更加贴近乘客的实际需求。
最后,为了确保计费的透明度和公正性,应该加强对计费器的监管和审查。
政府部门可以设立相应的标准和检测机构,对计费器进行定期的检测和维护,以确保其功能的正常运行和准确计费。
出租车自动计费器的设计与实现
出租车自动计费器的设计与实现自动计费器是现代出租车行业中必不可少的设备,它能够准确计算乘客的乘车时间和里程,并根据预设的费率规则自动计算费用。
本文将介绍出租车自动计费器的设计与实现要点。
一、硬件设计与实现1. MCU(微控制器单元)的选型:选择适当的MCU比如ARM、AVR等,并根据需求确定其性能、接口等要求。
2. 液晶显示屏:选择合适尺寸的液晶显示屏,用于显示计程信息、费用、时间等。
3. 按键与输入接口:设计合理布局的按键接口,用于乘客输入目的地、选择支付方式等信息。
4. 里程传感器:选择合适的里程传感器,用于准确测量车辆行驶的里程数。
5. 车速传感器:选择合适的车速传感器,用于实时监测车辆的速度。
6. GPS模块:选择合适的GPS模块,用于获取车辆位置信息。
7. 蓝牙或其他无线通信模块:选择合适的无线通信模块,用于与其他设备进行数据传输,比如与支付系统对接等。
二、软件设计与实现1. 系统架构设计:按照面向对象的原则进行系统架构设计,将系统分为不同的模块,如计费模块、路线规划模块、支付模块等。
2. 数据结构设计:设计合理的数据结构,用于存储乘客输入的目的地、车辆位置、计费规则等信息。
3. 乘车计费算法设计:根据预设的计费规则,设计相应的计费算法,包括里程费、时间费、额外费用等的计算。
4. 路线规划算法设计:结合GPS模块获取的车辆位置信息,设计路线规划算法,提供乘客最优的行驶路线。
5. 用户界面设计:设计直观、友好的用户界面,方便乘客输入目的地、选择支付方式等操作。
6. 数据传输与支付对接:设计数据传输协议,确保计费信息可安全传输。
同时,对接支付系统,实现自动计费与支付的一体化。
三、功能与实现考虑1. 里程计费:利用里程传感器实时获取车辆行驶里程数,并根据预设的计费规则计算里程费用。
2. 时间计费:根据车辆行驶的总时间,结合预设的时间费率计算时间费用。
3. 额外费用:根据特殊情况,如夜间加价、高峰期加价等,设计额外费用计算的规则。
出租车计价系统的设计要求与设计方案
第一章出租车计价系统的设计要求与设计方案1.1出租车计价器设计要求设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三局部,用七段数码管显示总金额,单价,运行里程,起步价为6 元,超过 6 元,每一公里增加 1 元或2 元,等待时间单价为每 30 秒钟1 元,计费功能:费用的计算是按行驶里程收费。
设起步价为 6 元。
1、当总金额<6 元时,按起价计算费用2、当总金额>6 元时,每公里按 1 元或2 元计费3、等待累计时间>30s 时,按 1 元/30s 计费4、S1 为启动按钮、S2 转换单价按钮、S3 复位按钮。
显示功能:1、显示行驶里程:用三位数字显示,显示方式为“XX.X”,单位为 km。
计程范围 0-99.9km,准确到 0.1km。
2、显示单价:用两位数字显示,显示方式为“X.X”,单位为元。
3、显示总费用:用三位数字显示,显示方式为“XX.X”,单位为元。
计价范围 0-99.9 元,准确到 0.1 元。
1.2系统主要功能本出租车自动计费,上电后显示最初的起步价,里程计费单价。
同时具有运行,复位,转换等状态,可以切换白天与晚上不同计费单价,可以实现每等待30s 收1 元功能。
出租车显示行驶的总费用,里程,单价。
1.3方案论证与比较方案一:承受数字电子技术,利用 555 定时芯片构成多谐振荡器,或承受外围的晶振电路作为时钟脉冲信号,承受计数芯片对脉冲尽心脉冲的计数和分频,最终通过译码电路对数据进展译码,将译码所得的数据送给数码管显示,一下是该方案的流程框图,方案一如图 1-1 所示:图1-1 方案一方案二:承受 EDA技术,依据层次化设计理论,该设计问题自顶向下可分为分频模块,把握模块计量模块、译码和动态扫描显示模块,其系统框图如图 1-2 所示:图1-2 方案二方案三:承受MCU 技术,通过单片机作为主控器,承受外部晶振作为时钟脉冲,通过按键可以便利调整,以下是方案三的系统流程图,本方案主要是必需对于数字电路比较生疏,本钱又不高。
出租车自动计费器的设计原理分析
出租车自动计费器的设计原理分析出租车自动计费器是一种应用于出租车行业的设备,用于准确计算乘客的车费并提供相关信息。
它是出租车行业中不可或缺的一部分,通过自动化计费系统,确保乘客和司机之间的交易公平、透明。
出租车自动计费器的设计原理可以大致分为以下几个方面:1. 计价规则与费率表:出租车自动计费器的设计需要根据当地的法规和政策,在其内部设置相应的计价规则和费率表。
这些规则和费率表通常由政府部门制定,并根据当地的交通、燃油价格等因素进行调整。
计费器根据行驶距离、时间、等候时间等因素来计算车费,并根据费率表提供准确的计费信息。
2. 里程计算与定位系统:自动计费器通过里程计算,精确地测量出租车行驶的距离。
这通常通过车辆上安装的里程传感器来实现,它可以实时监测车辆的行驶状况,并将数据传输给计费器进行计算。
同时,定位系统(如GPS)也被应用于自动计费器中,以便准确计算出租车行驶的距离和时间。
3. 时间计算与时钟系统:除了里程计算,自动计费器还需要正确计算出租车的行驶时间。
为此,计费器内置了精确的时钟系统,可以准确记录出租车的行驶开始和结束时间,以便计算行驶时间。
时钟系统通常会自动校准以确保准确性。
4. 显示器与用户界面:出租车自动计费器通常会配备一个显示器和用户界面,用于向乘客显示当前的车费和其他相关信息。
乘客可以根据显示器上的信息来了解乘车费用,并可以通过用户界面进行一些操作,如选择付款方式等。
5. 结算与支付:自动计费器设计需要支持多种支付方式,如现金、刷卡等。
计费器通过连接支付终端或电子支付平台,实现与支付系统的集成,以便乘客可以方便地完成支付。
同时,自动计费器还需记录每一笔交易的信息,以便司机和乘客在需要时进行查阅和核对。
除了以上的设计原理,出租车自动计费器还需要考虑耐用性、安全性和易使用性等因素。
例如,设计师需要选择高品质的材料来保证计费器的稳定性和耐用性,还需要考虑防水、防尘等特性以适应车辆使用环境。
出租车自动计费器的设计原则与方法
出租车自动计费器的设计原则与方法出租车自动计费器是一种用于计算乘客乘坐出租车的费用的设备,设计合理的自动计费器能够提高出租车计费的准确性和公平性,并提升乘客对出租车服务的满意度。
本文将探讨出租车自动计费器的设计原则与方法。
首先,出租车自动计费器的设计原则应包括以下几个方面。
首先,计费器应具有高度准确性和可靠性,确保计费的公正与公平。
其次,计费器应具备简单易懂的界面和操作流程,方便乘客使用,尤其是对于特殊群体,如老年人和外国游客等。
第三,计费器应具备可扩展性和适应性,能够应对未来可能发生的计费规则或政策的变化。
最后,计费器的设计应参考人因工程学原理,如易于操作的按钮、清晰可辨识的显示屏等,以提高用户体验。
其次,设计出租车自动计费器的方法可以包括以下几点。
首先,确定计费规则和费率。
这是设计计费器的基础,需要根据当地政府的规定和市场需求来制定一套合理的计费规则和费率。
其次,选择合适的硬件设备。
计费器需要包括显示屏、计时器、计量器、支付接口等功能模块,这些硬件设备的选择应基于可靠性、耐用性和成本效益等因素进行评估。
第三,设计用户界面和操作流程。
用户界面应简洁明了,界面元素的排布和颜色应与用户习惯和认知相匹配,操作流程应简单易懂,减少用户操作的复杂度和可能出现的错误。
最后,进行系统测试和验证。
在计费器设计完成后,需要进行全面的系统测试,包括功能性测试、用户体验测试和安全性测试等,确保计费器能够正常运行且满足设计要求。
除了以上的设计原则与方法,还有一些值得注意的问题。
首先,计费器应具备安全防护措施。
例如,防止计费器被恶意篡改或操纵,保护用户的隐私信息和支付安全等。
其次,计费器应具备故障诊断和故障恢复功能,能够及时发现并解决设备故障,确保计费器的可靠性和连续性。
最后,计费器的设计应注意节能环保,减少对环境的负面影响。
总的来说,出租车自动计费器的设计应遵循准确性、公平性、易用性和可靠性等原则,并通过制定合理的计费规则、选择合适的硬件设备、设计用户友好的界面和操作流程等方法来实现。
出租车计价器系统设计方案
出租车计价器系统设计方案第一章出租车计价器系统功能描述1.1 计价器整体功能描述结构设计出租车计价器方案并进行仿真,完成白天晚上转换计价,显示路程、单价、总金额。
1.2 各部分电路功能描述1 不同情况具有不同的收费标准。
a) 白天b) 晚上2 具有数据的复位功能3 IO 口分配的简易要求a) 距离检测使用霍尔开关A44Eb) 白天/ 晚上收费标准的转换开关c) 数据清零开关4 数据输出5 按键a) 启动计时开关b) 数据复位(清零)c) 白天/ 晚上转换第二章方案论证本系统可分 4 个模块构成:主控电路、掉电保护模块、信号采集模块和显示模块。
2.1 主控电路选择方案一使用数字电路和模拟电路来完成设计要求。
显示器件有:LED显示, VFD显示,分段式数码管等等,针对显示单元电路这里可以使用分段式数码管显示,分段式数码管分为共阳极和共阴极两种,对数码管的驱动针对不同的类型采用不同的芯片,共阴极数码管通常采用TTL系列的74LS48驱动,而共阳极数码管通常采用CMO系列的CD4543来驱动;仅时钟显示电路框图和实际电路就非常复杂,整体电路将更加烦琐。
而且对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现;整体电路的规模较大,用到的器件多,造成故障率高,难调试。
方案二使用单片机来完成设计要求。
单片机功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。
针对计费模式的切换,通过软件编程就可以容易的实现。
避免了机械开关带来的不稳定因素。
确定方案经过上面的两种方案的比较,显然第二种方案具有更大的优越性、灵活性,所以我们采用第二种设计方案进行设计。
2.2 显示电路选择方案一:采用液晶显示器(LCD显示。
液晶屏显示功能强大,可显示各种字体的数字、汉字、图像,还可以自定义显示内容,显示内容较丰富;方便操作者读取信息及一些扩展功能的实现。
出租车计价器设计
出租车计价器设计一、设计目的出租车计价器是指用于出租车计算乘客乘车费用的仪器或装置,其设计目的是为了提供乘客和驾驶员之间的公平交易和计费机制。
计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算和显示费用,以保证乘客支付公正的费用,同时也为驾驶员提供准确的运营数据。
二、设计要求1.准确计算:计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算费用。
计算过程需精确无误,避免出现漏计、重计或错误计算的情况。
2.易操作:计价器应具备简单直观的操作界面,方便驾驶员进行操作和读取信息。
操作流程和按钮设置应符合直觉,减少操作难度和误操作。
3.易读显示:计价器应具备清晰明确的显示界面,能够直观地显示乘车时间、里程数和费用等相关信息。
显示屏应有合适的亮度和对比度,以适应各种光照条件下的读取。
4.稳定性和耐用性:计价器需要具备良好的稳定性和耐用性,能够在长时间运营和多种环境条件下保持正常工作。
抗干扰、耐振动和防水设计都是需要考虑的因素。
5.数据保存和传输:计价器应具备数据保存和传输功能,能够存储和导出乘车数据。
数据传输方式可以考虑使用USB接口或者无线传输等方式。
6.合法合规:计价器应符合相关法律法规的要求,包括计费标准、计费规则、计量准确性等方面的要求。
三、设计内容1.硬件设计:硬件设计主要包括以下组成部分:-显示屏:需使用亮度和对比度较好的显示屏,可采用液晶显示屏,具备一定的抗干扰能力,以确保信息清晰可读。
-按钮:设有各种操作按钮,例如开始乘车、结束乘车、改变计费模式等,按钮应具备防误触设计,以避免误操作。
-里程传感器:使用里程传感器准确测量行驶里程数。
-时间计算器:使用准确的时间计算器计算乘车时间。
-存储器:用于保存乘车数据,可以设置一定的存储容量,以保证数据的完整性。
-硬件防水、抗干扰设计:计价器需要具备一定程度的防水和抗干扰能力,以适应各种环境条件下的使用。
2.软件设计:软件设计主要包括以下内容:-计费规则设置:根据规定的计费标准设置计费规则,包括起步价、里程单价、时间单价、低速补贴等。
出租车自动计费器课程设计报告
电子课程设计——出租车自动计费器出租车自动计费器一、 设计任务与要求出租车自动计费器是根据客户用车的实际情况而自动显示用车费用的数字仪表。
仪表根据用车起价、行车里程两项求得用车的总费用,通过数码自动显示。
1. 设计一个自动计费器,具有行车里程计费,起价等部分。
用四位数码管显示总金额,最大金额是99.99。
2. 行车起价为8.00元,超过5公里为1.6元/公里(60公里每小时)二、总体框图模块功能与设计思路:计费的电路将汽车行驶的里程数转换成与之成正比的脉冲个数,在出租车转轴上加装传感器,以便获得“行驶里程信号”。
脉冲源为电路提供的脉冲的周期与行车里程正比。
起价部分电路通过脉冲源加在八百进制计数器上,在800个脉冲之前显示器一直显示0800,当八百进制计数器显示799时通过门电路控制使其停止计数(此时到达五公里)。
然后160进制计数有效,每计一个数,10000进制计数器也计一个数,显示器的数值加一。
三、器件选择与功能简介元器件名称数量备注555定时器 1 构成脉冲电路74LS190 8 十进制计数器74LS191 1 十六进制计数器行车里程 脉冲源起价10000进制计数器脉冲源160进制计数800进制计数器显示器74LS190逻辑符号 74LS191逻辑符号U174LS190NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190外接引线排列 74ls191外接引线排列单刀单掷开关 1 控制总电路单刀双掷开关 1 控制10000进制的置数端非门 1 构成八百进制计数器或门 2 控制八百进制计数器停在799的位置七输入与门 1 当799时输出高电平,八百进制计数器不再计数四位数码显示器 9 显示数字电阻 2 1个367ohm 1个4、7kohm电容 1 1个100uf 1个1 uf电源电压vcc 1 5v二输入与门 1控制800进制计数器到达799时,10000进制计数器开始计数U174LS191NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190时序图 74ls191时序图74ls190内部原理图 74ls191内部原理图74LS190是可预置数同步可逆加减十进制计数器,符号如图所示,它具有异步置数端LOAD,加减控制端D/U和计数控制端CTEN,为方便级联,设置了两个级联输出端RCO和MAX/MIN.;当加减控制端等于1时减计数,否则为加计数;当异步置数端等于0时置数,当计数控制段为1时禁止计数,为0时,四个触发器将在时钟上升沿开始计数;当计数器加计数,计数值为9或减计数,计数值为0时,MAX/MIN端输出与时钟周期相同的正脉冲,而RCO产生一个宽度为时钟低电平宽度的低电平,74LS191为四位二进制即十六进制同步加法计数器,其功能表与74LS190相同,如图所示输入输出CTEN LOAD D / U D C B A CPX O X d c b a X 异步预置O 1 O 加计数O 1 1 减计数11 XX 保持图.74LS190、191功能表555定时器555 芯片是定时器,,是一种将数字功能和模拟功能集为一体的中规模集成电路。
PLC 出租车计价器的设计
目录一.设计目的及要求二.工作原理、系统方框图三.各部分选定方案及电路组成、相关器件说明四.系统调试与检测五.设计结论六.设计心得与总结七.参考文献附录一:元器件清单附录二:小组各成员所做工作说明,每个成员对最终方案的贡献一.设计目的及要求汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。
每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。
[设计要求](1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。
(2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(3)行车单价、等车单价、起步价可分别预置。
二.工作原理、系统方框图出租车计价器是安装在出租汽车上的专用计量仪器,作用是显示出出租车行驶的里程和乘客所需要付的金额,具有良好性能的计价器是乘客和司机都希望的。
1出租车计价器的计价原理乘客应付的金额值是路程和时间的函数,计程和计时是计价器计价的依据,为方便起见,计程划分为起程和续程两部分,计时也分起始时间和后续时间。
起程、续程值和起始时间、后续时间值的大小,一般由当地政府有关部门(如客运交通管理、物价部门)视当地诸因素如城市大小、交通情况、生活和物价水平等确定,通常起程、起始时间大于续程、后续时间。
起程内的车费通称为基本车费、基价或起步价,乘客乘车计程不足基价里程是按基价收费,超过基价里程时超出部分按后续单价累计;计价器设定有免费等候时间,即运营时乘客要求停车免费等候时间,超过免费等候时间按等候单价累计收费。
计价器按照一定间隔作跳跃式变化(例如图2.1),显示金额。
出租车的行进状态分为两种:一种是在没有乘客情况下.1的状态,称为空车;一种是在有乘客情况下的状态,称为重车。
出租车上备有一个空车牌标志,当处于空车情况下时,空车牌翻上,此时计价器不计价;当有乘客上车时,首先翻下空车牌,表示重车,然后计营运数据。
出租车计时器设计
出租车自动计费器设计一.设计要求1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。
99元;2、行车里程单价1元/公里,等候时间单价0。
5元/10分钟,起价3元(3公里起价)均能通过人工输入。
3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。
例如单价是1。
0元/公里,则脉冲当量为0。
01元/脉冲。
4、用LED显示行驶公里数,两个数码管显示收费金额。
二.原理描述利用层次化设计理论,将设计问题自顶向下可分为分频模块,控制模块,计量模块和显示模块。
系统框图如下图1:图12.1分频模块分频模块对频率为240Hz的输入脉冲进行分频,得到15Hz,16Hz,1Hz的3种频率,该模块产生频率信号用于计费。
2.2计量控制模块计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能,计价功能和计程功能。
计时功能的主要任务是计算乘客的等待累计时间,计时器的量程为59分,满量程自动清零。
计程功能的主要任务是计算乘客所行使的公里数,计程器的量程为99公里,满量程自动归零。
三.实验程序3.1出租车计价器VHDL程序通过VHDL语言的顺序语句根据一个或者一组条件选择某一特定的执行通道,生成计费数据,计时数据和里程数据。
1. 出租车计价器VHDL程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min1,min0: out std_logic_vector(3 downto 0)); --等待时间end taxi;architecture behav of taxi issignal f_15,f_16,f_1:std_logic; --频率为15Hz,16Hz,1Hz的信号signal q_15:integer range 0 to 15; --分频器signal q_16:integer range 0 to 14; --分频器signal q_1:integer range 0 to 239; --分频器signal w:integer range 0 to 59; --秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --制费用计数器signal k1,k0:std_logic_vector(3 downto 0); --公里计数器signal m1:std_logic_vector(2 downto 0); --分的十位计数器signal m0:std_logic_vector(3 downto 0); --分的个位计数器signal en1,en0,f:std_logic; --使能信号beginfeipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseif q_15=15 then q_15<=0;f_15<='1'; --此语句得到频率为15Hz的信号else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_15; --此语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end if;end process;main:process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsif stop='1' thenif w=59 then w<=0; --此语句完成等待计时if m0="1001" then m0<="0000"; --此语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1'; --此语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此语句得到en0使能信号else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出end if;end process main;jifei:process(f,start)beginif start='0' then c3<="0000";c2<="0011";c1<="0000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process jifei;end behav;该程序包含3个进程模块。
出租车计费器的设计
出租车计费器的设计一、硬件设计:1.计费器的结构设计:计费器应该具有合理的结构设计,方便在出租车内部进行固定安装,同时具备防震、防水等特性,以应对复杂的车内环境。
计费器还应具备易于维修和维护的特点,方便后期管理和更新。
2.显示屏设计:计费器的显示屏需要能够显示出租车费用、里程、时间和其他相关信息。
显示屏应采用高对比度的技术,以确保在各种光照条件下都能清晰可见。
同时,显示屏还应具备适合司机观看的尺寸和角度,方便司机在行驶过程中获取所需信息。
3.按键设计:计费器的按键应具有合理的布局和大小,方便司机操作。
按键的触感应该舒适,防止因按键设计不佳导致司机误操作。
计费器还可以设置额外的功能按键,如选择计费模式、调节亮度等,以提高司机的使用体验。
4.通讯模块设计:计费器需要与出租车的其他系统进行通信,如车载定位系统、计价仪等。
通讯模块应具备稳定的通信能力,支持不同通信协议,并且能够快速响应和处理来自其他系统的信息。
通讯模块还应具备保密性和安全性,防止信息泄露和非法侵入。
二、软件设计:1.计费算法设计:计费算法是出租车计费器最核心的功能之一、计费器应能够根据里程、时间和其他因素进行准确的计费。
计费算法需要考虑不同的计费模式、起步价、里程费、等候费等因素,并且具备合理的计费规则,以确保计费的公平和准确性。
2.数据处理逻辑设计:计费器需要对输入的数据进行处理和分析,以提供司机所需的信息。
数据处理逻辑应能够实时处理输入的里程、时间和其他相关数据,并更新计费结果和显示屏的内容。
同时,数据处理逻辑还应具备容错性,确保在异常情况下也能正常运行。
3.用户界面设计:计费器的用户界面应具备友好和易用的特点。
用户界面设计应考虑司机的使用习惯和特点,以简化操作步骤和提高操作效率。
计费器的用户界面还可以根据不同的需求设置不同的显示模式,如夜间模式、高亮度模式等,以满足司机的个性化需求。
总结:出租车计费器的设计需要考虑硬件和软件两个方面,合理的硬件设计可以提高计费器的可靠性和稳定性;而科学的软件设计可以保证计费器的准确性和易用性。
出租车计费器的设计
目录1 引言 12 EDA技术简介 23 FPGA简介 34 总体设计 54.1 总体设计思想 54.1.1 分频模块 54.1.2控制模块 54.1.3计量模块 54.1.4译码显示模块 64.2 时序仿真图及延时分析 64.3 VHDL源代码 85 设计总结 11参考文献 121 引言随着出租车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定,计费准确。
利用VHDL语言设计出租车计费系统,使其实现计费以及预置和模拟汽车启动、停止、等待等功能设计出动态扫描电路显示车费数目,突出了其作为硬件描述语言的良好的可能性、可移植性和易理解等优点。
此程序通过下载到芯片EP1K30TC144-3后,可应用于实际的出租车系统中。
本文针对FPGA器件,用EDA工具软件Max+P1usⅡ,设计了一种出租车的计价器,它可以以十进制数的形式,直观地显示出租车行驶的里程和乘客应付的费用,具有一定的实际应用价值。
2 EDA技术简介EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
现在对EDA的概念或范畴用得很广,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。
目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。
例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。
出租车计程计价器的设计
出租车计程计价器的设计在硬件设计方面,计程计价器需要具备以下功能:1.车辆定位系统:通过全球卫星定位系统(GPS)来准确获取车辆的位置信息,以便计算行驶里程。
2.计时系统:通过内置时钟来记录乘客使用的出租车的时间,以便计算时间费用。
3.车辆速度检测系统:通过车速传感器来测量车辆的速度,从而在行驶过程中进行动态计量。
4.车辆载客检测系统:通过车载传感器来检测车辆上的乘客数量,以便计算附加费用。
5.显示屏和按钮:设计良好的用户界面,其中包含显示屏和按钮,方便乘客查看费用和指导司机进行操作。
在软件设计方面,需要实现以下功能:1.行驶费用计算:根据行驶里程和车速来计算行驶费用,可以根据不同的区域和时间段设置不同的费用标准。
2.时间费用计算:根据乘客使用的出租车的时间来计算时间费用,可以根据不同的时间段设置不同的费用标准。
3.附加费用计算:根据乘客数量来计算附加费用,可以设置一定的基准人数和超过基准人数的费用。
4.数据存储与传输:将所有行驶记录和费用信息存储在内部存储器中,方便之后的数据分析和报告生成。
同时,可以通过无线网络传输数据,以便数据监控和管理。
在用户界面设计方面,需要考虑以下因素:1.显示屏设计:显示屏需要足够大,能够清晰显示当前的行驶里程、时间、费用等信息,并能够进行动态更新。
2.按钮和控制面板设计:设计易于操作的按钮和控制面板,方便司机进行计价器的操作和调整。
3.报表和票据打印:设计支持报表和票据打印的功能,方便司机和乘客获取相应的费用明细信息。
此外1.系统准确性:计程计价器需要具备高精度的测量系统,确保行驶里程、时间和费用的准确性。
2.安全性和防作弊功能:设计相应的安全性功能,防止司机和乘客对计价器进行破坏或作弊操作。
3.界面友好度:设计简洁明了的用户界面,方便乘客和司机操作和了解费用信息。
4.扩展性和升级性:计程计价器需要具备良好的扩展性和升级性,可以支持后续的功能扩展和系统升级。
总之,出租车计程计价器的设计需要从硬件、软件和用户界面等多个方面进行综合考虑。
出租车自动计费器的设计优化方案
出租车自动计费器的设计优化方案一、引言出租车自动计费器是出租车行业中非常重要的设备之一,它能够准确计算乘客乘车的距离和时间,提供便捷的服务和公平的计费方式。
然而,目前市场上存在一些出租车自动计费器的设计问题,例如计费不准确、操作不便等,因此需要进行设计优化,以满足用户需求。
二、计费准确性优化1. 优化定位系统出租车自动计费器的准确计费依赖于准确的定位系统。
优化定位系统可以提高计费器的精度和稳定性。
在硬件方面,可以引入高精度的卫星定位模块,确保位置信息的准确性。
在软件方面,可以增加滤波算法,降低定位误差,并且及时更新地图数据,以保证计费的准确性。
2. 提高计费算法当前一些计费器采用的计费算法可能存在误差,导致计费不准确。
优化计费算法可以提高计费的准确性。
可以采用基于时间和距离的综合计费模型,根据车速和行驶距离来计算费用,避免了单一计费模型的不准确性。
同时,还可以根据城市不同的交通拥堵情况,调整计费系数,以反映出实际的交通情况。
三、用户体验优化1. 界面友好化设计出租车自动计费器的界面应该简洁直观,易于操作。
可以通过采用大字体、亮色背景和直观的图标,提高界面的可读性和可理解性。
另外,还可以引入语音提示功能,为用户提供更加智能的使用体验。
2. 人性化操作设计优化出租车自动计费器的操作方式,提供更加便捷的操作体验。
可以设计成触摸屏操作,简化操作流程。
此外,还可以增加一些常用功能的快捷键,方便用户快速输入目的地和支付方式等信息。
在支付方式上,可以支持多种支付方式,如现金、刷卡、移动支付等,提高支付的灵活性。
四、安全性优化1. 数据加密和隐私保护出租车自动计费器处理的数据包括乘客位置、费用和支付信息等敏感数据,应该采取安全措施进行加密和隐私保护。
可以采用安全芯片和加密算法,确保数据传输和存储的安全性。
另外,还可以在软件中加入权限管理机制,限制非法访问和恶意操作。
2. 设备稳定性保障为了保障出租车自动计费器的稳定运行,可以采取一系列措施。
「基于VHDL语言的出租车计费器设计」
「基于VHDL语言的出租车计费器设计」出租车计费器是一种广泛应用于出租车行业的设备,用于计算车辆的费用并实时显示给乘客。
本文将基于VHDL语言设计一个简单的出租车计费器。
设计一个出租车计费器需要考虑以下几个方面:车辆里程计算、时间计费、费用显示和控制。
首先,我们将从车辆里程计算开始设计。
假设出租车的速度传感器每隔一段时间测量一次速度,我们可以通过速度传感器数据和时间信息计算车辆的里程。
这里我们可以设计一个有限状态机来记录车辆的行驶状态和位置信息,并计算里程。
可以使用计算机存储器来存储车辆位置信息,并使用计数器来记录总里程。
接下来,我们需要设计时间计费模块。
假设每分钟的计费费率为X元,我们可以使用一个计数器来记录车辆行驶的时间,并将计数器的值与X元相乘得到计费金额。
我们还可以通过设置计数器的初始值和最大值来控制计费的时间间隔和最长计费时间。
费用显示模块是出租车计费器的核心功能之一、我们可以使用七段数码管或LCD显示屏来实时显示费用信息。
设计费用显示模块需要确定费用显示的格式,例如小数点位置、位数等。
我们还可以设计一个使费用显示模块能够显示不同运行状态下的附加信息的控制模块。
最后,我们需要设计一个控制模块来实现出租车计费器的整体控制。
这个模块可以通过读取车辆速度和时间计费器的值,并将这些值传递给里程计算器和时间计费器。
此外,控制模块还可以实现一些功能,如启动和停止计费器、调整计费费率、重置里程和时间等。
综上所述,基于VHDL语言的出租车计费器设计涉及到车辆里程计算、时间计费、费用显示和控制等多个方面。
我们可以使用VHDL语言来实现这些功能模块,并通过模块化设计方法将它们组合在一起,实现一个功能完善的出租车计费器。
这个设计不仅可以提高出租车行业的计费效率,还可以为乘客提供准确的费用信息,提升用户体验。
出租车计费控制器的设计
出租车计费控制器的设计2.1 出租车计费设计要求(1)本计费器设计分白天(8:00—23:00)跟夜间(23:00—8:00)。
其收费标准白天跟夜间不同。
白天时间:〈1〉车起步开始计费。
首先显示起步价(本次设计起步费为10.0元),车在行驶3 km以内,只收起步价10.0元。
〈2〉车行驶超过3 km而小于8公里时,按每公里2.0元计费(在10.0元基础上每行驶1 km 车费加2.0元),车费依次累加。
〈3〉行驶路程达到或超过8 km后,每公里加收3.0元的车费,车费变成按每公里3.0元开始计费。
车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。
若停止则车费清零,等待下一次计费的开始。
夜间时间:〈1〉车起步开始计费。
首先显示起步价(本次设计起步费为12.0元),车在行驶3 km以内,只收起步价12.0元。
〈2〉车行驶超过3 km而小于8公里时,按每公里3.0元计费(在12.0元基础上每行驶1 km 车费加3.0元),车费依次累加。
〈3〉行驶路程达到或超过8 km后,每公里加收4.0元的车费,车费变成按每公里4.0元开始计费。
车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。
若旅客下车则车费清零,等待下一次计费的开始。
(2)功能:能模拟汽车启动、停止、暂停、车速变化等状态(3)设计动态扫描电路:本系统共8位数码管,分别用3位显示路程,3位显示车费和2位显示小时时间,路程的最小分辨为0.1千米,车费的最小分辨为0.1元,另外分别用7个二极管来显示分钟和秒。
2.2 基本设计思想(1)根据计费器设计要求,可将该系统分成大的三模块,一块为计费模块,一块为时间计时模块,另一块为输出显示模块。
其中计费模块又可分为路程和车费模块。
(2)计费器模拟中采用脉冲来检测车所行驶距离,当检测到一脉冲便使系统路程加100 米。
(3)通过分析可以设计出计费器的顶层框图如图(2-1)和(2-2)所示:程序流程图如图计费开始读起当前时间值确定起步价3公里后开始正常计费计费结束保持车费显示清除计费显示 stop enable clear初始装载时间 00:00:00通过分钟加一按纽调整分钟通过小时加一按纽调整小时进入正常计时显示状态resetenmine enhour车启动暂停 加速/基本速率车控制模块里程动态显示显示输出动态计费显示计费显示输出车跑完成一次后车费、里程清零车开始启动里程不计数对时间进行判断,白天和夜间不同收费里程计数里程显示计费器计数车费显示NYYN。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
出租车计费器的设计
出租车计费器是出租车行业的必备设备,其计费准确性直接影响乘客的体验和
司机的收入。
因此,合理的出租车计费器设计显得尤为重要。
功能设计
从功能角度出发,出租车计费器应该具备以下基本功能:
1.显示乘客上车时间和下车时间;
2.计算路程费用:包括里程费、时长费、夜间费等;
3.显示当前费用累计总额和支付方式;
4.支持现金、刷卡、移动支付等多种支付方式;
5.显示出租车的车牌号、公司名称等信息。
界面设计
出租车计费器的界面设计应该简约明了,符合人性化的设计理念。
可以从以下
几个维度设计:
显示界面设计
出租车计费器显示界面需要清晰明了,突出显示乘客目前应支付的费用和支付
方式,同时还需要显示如下信息:
1.乘客起点和终点所在地;
2.距离和行驶时间的计算;
3.当前的里程信息。
操作界面设计
出租车计费器的操作界面设计应该简单易懂,乘客和司机均能轻松操作。
例如:
1.增加应付费用按钮;
2.减少应付费用按钮;
3.切换支付方式按钮。
系统设计
出租车计费器系统设计需要考虑如下方面:
硬件设计
出租车计费器的输入设备应该采用触摸屏和按键,输出设备应该选择较为便捷的小型打印机。
此外,还要考虑如下因素:
1.设备尺寸和重量;
2.人性化交互方式;
3.电耗和续航能力等。
软件设计
出租车计费器的软件设计主要包括计费系统和支付系统两部分。
计费系统应该包括:
1.里程计算器;
2.时间计算器;
3.费用管理系统。
支付系统包括:
1.现金支付(硬币和纸币);
2.银行卡支付(POS机);
3.移动支付(微信、支付宝等)。
安全设计
出租车计费器的安全设计是保障乘客和司机利益的基础,主要包括硬件和软件两部分。
硬件安全需要考虑如下问题:
1.设备的防盗、防损;
2.设备的密封和防水。
软件安全需要考虑如下问题:
1.数据的加密和传输安全;
2.系统的防病毒、防篡改等机制。
总结
出租车计费器是现代出租车服务的重要组成部分,合理的设计对于提升服务质量和改善用户体验至关重要。
设计时,需要综合考虑功能、界面、系统和安全等各个方面,确保出租车计费器的使用安全、便捷和智能化。