定时器实验(循环彩灯)
十六路循环彩灯实验报告
数字电子技术课程设计题目: 循环彩灯控制电路的设计姓名: 张影专业: 电子科学与技术班级: 电子112 学号: 1886110233 指导教师: 高伟霞2013 年6 月14 日安徽科技学院理学院循环彩灯控制电路的设计一、课程设计题目(一)、题目:循环彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.数电课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
二、任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(1)彩灯控制器设计要求设计一个16路移存型彩灯控制器,基本要求:能够实现以下四种花型:花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。
花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。
花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。
花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。
要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。
花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。
(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3. 注意布线,要直角连接,选最短路径,不要相互交叉;4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三、设计任务分析(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下方案:总体电路共分三大块。
基于555定时器的自动循环彩灯EWB仿真及制作
Uo
图一 55 5 集成 电路引脚排列 图 使用 5 5 5 定时芯 片并外接 电容和 电阻等元件,可以方便 地组成性能稳定而精确的多谐 振荡器 、 单稳电路、 施密特触 发器等,应 用十分广泛 。5 5电路大量应用于 电子控制、电 5 子检测 、仪器 仪表、家用电器 、音响报警、电子玩具等诸多 方面。 双极型 55的电压 范围为 4 5 ~1V 5 .V 5 ,而 C O 型的 电源 MS
数场合都可直接替代双极型55/56 5 5 ,且多数电参数都有 所改善, 如静态电流 30A 0m,阈值端 ( ) 触 发端 (, V、 ) 和复 位 端等的输入阻抗高达 1 欧姆 , CO 型 55 5 的驱 动 O 但 MS 5 /5 6
电流 比双极型的要小。 般说来,在 要求 定时长、功耗小 、负载轻 的场 合,宜 选用 CO 型的 55 MS 5 ;而在 负载重 、 要求驱动 电流大 、 电压 高
摘
要: 本文介绍了55 5 定时器的结构、特点,对利用55 5 定时器为主芯片来设计的自 动循环彩灯电路的制作全过程作
课程设计彩灯循环控制器的电路图的实验报告2
内蒙古农业大学课程设计课程名称电子技术综合设计与实训题目名称彩灯变换控制器设计学生学院机电工程学院专业班级电气工程及其自动化1班学号090511060学生姓名江谋伟指导教师曲辉2011年12 月12 日课程设计的内容设计任务及要求用一个LED数码管的每一段代表一组彩灯。
按数字循环显示3种序列:自然序列1,2,3,4,···奇数序列1,3,5,7偶数序列0,2,4,6,①具有显示,清零功能。
②数码管现实快慢连续调节(即计数时钟方波频率可调,0.5~2HZ)摘要这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个八段数码管显示出来。
这里使用的主要就是计数器,计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于定时,产生节拍脉冲以及其他时序信号。
运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。
而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列。
最后还有一个部分就是用7447译码器把74160输出的信号用数码管显示出来。
一前言让人眼花撩乱的广告彩灯随处可见,他将城市的夜晚装扮的美丽迷人,商家已无法离开广告彩灯这一非常有效的宣传武器。
利用价格低廉的普通集成芯片可实现很多种广告彩灯控制。
例如用单片机,8086,8088等高级芯片,实现彩灯的循环点亮等,本设计利用常用的555定时器及74ls系列器件设计8路彩灯电路。
二原理框图三芯片功能介绍1)555定时器 555定时器是8引脚集成器件,其工作原理为:输出电压只有两种状态:高电平低电平.在555定制器的复位端R 端为低电平的条件下,无论阈值输入端TH和触发输入端TB>Tc取何值,输出端OUT输出低电平,且放电端口D与地接通。
当复位端R为高电平时,如果阈值电压TH>2Vcc/3,且触发电压TR>Vcc/3,输出端OUT输出低电平,且放电端口D与地接通;如果阈值嗲电压TH<Vcc/3,且触发电压TR>Vcc/3,输出端OUT和放电端D保持原状态不变:只要触发电压TR<Vcc/3时,输出端OUT输出高电平,且放电端口D与地段开。
制作循环彩灯实训报告
一、实训目的通过本次实训,使学生掌握电路设计与制作的基本方法,提高动手能力和电路调试能力。
同时,培养学生的创新意识和团队合作精神,学会运用所学知识解决实际问题。
二、实训内容本次实训的主要内容包括:1. 确定电路设计方案;2. 制作电路板;3. 组装电路元件;4. 调试电路;5. 撰写实训报告。
三、实训过程1. 确定电路设计方案根据实训要求,我们设计了一种八路循环彩灯电路。
该电路主要由555定时器、74LS164移位寄存器、LED灯、电阻、电容等元件组成。
电路工作原理如下:- 555定时器产生一个周期为1秒的方波信号;- 74LS164移位寄存器将方波信号进行移位,控制LED灯的点亮顺序;- LED灯依次点亮,形成循环效果。
2. 制作电路板根据设计方案,我们使用PCB板制作电路板。
首先,在PCB板设计软件中绘制电路图,然后生成Gerber文件,最后将Gerber文件发送到PCB生产厂家进行制作。
3. 组装电路元件将制作好的电路板、555定时器、74LS164移位寄存器、LED灯、电阻、电容等元件按照电路图进行组装。
组装过程中,注意元件的焊接质量,确保电路的可靠性。
4. 调试电路组装完成后,进行电路调试。
首先检查电路板是否有短路、断路等问题,然后通电测试。
根据测试结果,调整电路参数,使LED灯能够正常循环点亮。
5. 撰写实训报告在实训过程中,详细记录电路设计、制作、调试的过程,分析出现的问题及解决方法,总结实训心得。
四、实训结果经过多次调试,我们成功制作了一款八路循环彩灯。
该彩灯能够按照预设的顺序依次点亮LED灯,形成循环效果。
电路稳定可靠,运行良好。
五、实训心得1. 在电路设计过程中,要充分考虑电路的可靠性和稳定性,选择合适的元件和电路参数。
2. 制作电路板时,要严格按照设计要求进行,确保电路板的精度和质量。
3. 组装电路元件时,要注意焊接质量,避免短路、断路等问题。
4. 调试电路时,要耐心细致,发现问题及时解决。
制作循环彩灯实习报告
制作循环彩灯实习报告制作循环彩灯实习报告制作循环彩灯实习报告循环彩灯摘要:设计一个循环彩灯控制电路,该电路实现了让八个彩灯循环闪烁的功能,并且循环闪烁的时间为1秒。
其中,闪烁时间由555定时器这样的时钟电路产生周期为1秒的脉冲所控制;彩灯的循环闪烁由十进制计数器接受定时器产生的脉冲所控制;彩灯显示功能由三线八线译码器实现,输出分配数据直接控制灯的闪烁。
用Multisim 10对设计电路进行仿真可得到八个发光二极管循环闪烁的结果。
关键词:循环彩灯;555定时器;计数器;译码器;发光二极管目录1.设计背景……………………………………………………………………21.1了解数字电路系统的定义及组成………………………………………21.2掌握时钟电路的作用及基本构成…………………………………………22.设计方案……………………………………………………………………22.1任务分析……………………………………………………………………22.2方案论证……………………………………………………………………33.方案实施…………………………………………………………………33.1原理图设计…………………………………………………………………33.2电路仿真……………………………………………………………………73.3PCB制作...........................................................................84.结果与结论...........................................................................85.收获与致谢........................................................................96.参考文献..............................................................................97.附件 (97).1电路原理图………………………………………………………………107.2 PCB布线图………………………………………………………………117.3元器件清单……………………………………………………………111. 设计背景1.1 了解数字电路系统的定义及组成用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。
电子技术课程设计彩灯循环控制电路
彩灯循环电路一、设计任务1.设计目的为了提高运用所学数电模电的理论知识、分析问题、解决问题的能力,掌握电子电路的设计方法,熟悉电路在Protues中的组装、运行、调试的方法,使得理论与实践相结合,提高处理实际问题的能力以及动手能力,设计频率可调的多路循环彩灯电路,实现多路彩灯的多方式显示,满足日常生活及节日娱乐的装饰。
2.技术指标1.555定时器器件特性555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。
集成时基电路555的电源电压范围较宽,可在5~16V范围内使用(TTL型,若为CMOS 型的555芯片,则电压范围可在2~18V 内),电路的输出有缓冲器,因而有较强的带负载能力。
双极型时基集成电路最大的灌电流和拉电流都在200mA左右,因而可直接推动TTL或CMOS电路中的各种电路,包括能直接推动蜂呜器、小型继电器、喇叭和小型电动机等器件。
集成555定时器有双极性型和CMOS型两种产品。
它们的逻辑功能和外部引线排列完全相同。
其主要参数见表1.1.率扬声器发音,选择适当的外部电阻电容等器件与555定时器配合使用能够使此设计得以实现。
2.555定时器内部结构及工作原理(1) 内部结构:555定时器的内部电路框图及逻辑符号和管脚排列分别如图1和图2所示。
Vi1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。
Vi2(TR ):低电平触发端,简称低触发端,标志为TR 。
VCO :控制电压端。
VO :输出端。
Dis :放电端。
Rd :复位端。
555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生31VCC 和32VCC 两个基准电压;两个电压比较器C1、C2;一个由与非门G1、G2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G3。
Rd 是复位端,低电平有效。
复位后, 基本RS 触发器的Q 端为1(高电平),经反相缓冲器后,输出为0(低电平)。
实验报告循环彩灯控制器
湖南科技大学信息与电气工程学院《VHDL语言》课程设计报告题目: VHDL语言课程设计专业:班级:姓名:学号:课程设计任务书一、课程设计的目的:1,通过本次课程设计,熟练掌握MAX-PLUS2的应用,操作,并对VHDL语言的编程做一实践检验,编出程序,并进行仿真,并根据所得仿真图形分析和推断并改进所涉及的程序,让程序在现实生活中得以更贴近的应用。
2,本次操作的命题一是:循环彩灯控制器,本设计的要求是:设计一个循环彩灯控制器,该控制器控制红,绿,黄三个发光管循环点亮。
要求红发光管亮3秒,绿发光管亮2秒,黄发光管亮1秒。
3,本次操作的命题二是:数字显示的秒表,本设计的要求是:设计一块用数码管显示的秒表,能够准确的计时并显示。
最大计时为59秒,最小精确到0.1秒。
二、设计方案的论证:命题一循环彩灯控制器的方案一:在本题中要求控制器可以控制红,绿,黄三个发光管循环点亮,并且要求发光的时间不一样,这样,本质设计思想是循环累加,即时钟信号高电平开始,m值开始累加,CASE m ISWHEN "001"=>dout<="100";WHEN "010"=>dout<="100";WHEN "011"=>dout<="100";WHEN "100"=>dout<="010";WHEN "101"=>dout<="010";WHEN "110"=>dout<="001";WHEN OTHERS=>dout<="111";END CASE;前三个值都将赋值给dout1,也就是红灯发光的三秒,然后两个赋值给绿灯,最后一秒赋值给黄灯。
实验六-循环彩灯
实验六8路彩灯控制实验实验报告实验6 彩灯控制实验1.设计8路彩灯控制电路,并用MAXPLUS 进行仿真,将结果下载到实验箱中,测试电路的正确性。
要求:设计一个8路彩灯控制电路,实现8位LED 每隔1秒全亮、全灭、逐个点亮,延时间隔可由外部增和减按键进行调节,同时将延时间隔显示在数码管上。
注:其中彩灯的样式可以自己进行定义 注意:外部时钟信号由实验箱的信号源提供,需要设计相应的分频电路进行分频,以获得1Hz 的时钟信号2.应包含VHDL 源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析一、实验分析1、彩灯动作:逐个点亮(自左向右)——至全亮——全灭——全亮——全灭——逐个点亮,时间间隔1S ,可通过按键进行调节。
2、本实验由四个模块组成:四频率输出分频器,四选一频率选择器,彩灯控制器和数字频率计。
四选一频率选择器从分频器中选择不同频率的时钟信号输送到彩灯控制器,从而达到控制彩灯闪烁速度的快慢的变换。
控制过程如下图:1)rst 为低电平复位信号,为低电平时,8盏灯保持全灭的状态;输入信号为一个时钟信号,经过分频器分频之后产生频率不同的四个时钟信号。
2)频率选择器可以通过按键输入高电平脉冲来实现四种频率的循环选择。
3)彩灯控制模块实现多彩灯花样循环的控制,时间间隔有频率选择器的输出频率决定。
灯1 灯2 灯3 灯4 灯5 灯6 灯7 灯8 频率选择器 彩灯控制 高电平脉冲 分频器 时钟信号 数字频率计二、VHDL源程序1、四频率输出分频器根据要求有4种速度的变化,而只有一个输入的时钟信号,所以要对输入的时钟信号进行分频,本次设计采用了二分频、四分频、八分频和16分频得到4种频率信号。
二分频VHDL代码如下。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fen2 ISPORT(clk,rst: IN std_logic;clk1:OUT std_logic);END fen2;ARCHITECTURE behav OF fen2 ISBEGINPROCESS(clk,rst)VARIABLE q1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF rst='0'THENq1:=(OTHERS=>'0');ELSIF clk'event AND clk='1'THENIF q1<"0001" THEN q1:=q1+1;ELSE q1:=(OTHERS=>'0');END IF;END IF;IF q1="0001" THEN clk1<='1';ELSE clk1<='0';END IF;END PROCESS;END behav;四频率输出分频器如下图:模块元件符号如下图:时序仿真图:2、四选一频率选择器四选一频率选择器控的功能是从分频器中选择不同的时钟信号送给彩灯控制器,实现彩灯闪烁频率的变化,VHDL代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sixuanyi isport(f_choose,rst,clk1,clk2,clk3,clk4:in std_logic;z:out std_logic);end sixuanyi;architecture behave of sixuanyi issignal s:std_logic_vector( 1 downto 0);beginone:process(f_choose)beginif rst='0' then s<="00";elsif f_choose'event and f_choose='1'thens<=s+1;end if;end process one;two:process(s,clk1,clk2,clk3,clk4)begincase s iswhen"00"=>z<=clk1;when"01"=>z<=clk2;when"10"=>z<=clk3;when"11"=>z<=clk4;when others =>z<=null;end case;end process two;end behave;模块元件符号如下图:f_choose为频率选择端,每输入一次高脉冲,频率便改变一次,可以通过按键输入高脉冲来实现四种频率的循环选择。
循环彩灯实验报告
循环彩灯实验报告循环彩灯实验报告引言:灯光在我们生活中扮演着重要的角色,无论是室内照明还是舞台表演,灯光的运用都能够给人们带来不同的感受和体验。
在这个实验中,我们将探索循环彩灯的原理和制作方法,以及其在不同场景中的应用。
一、实验目的本实验的目的是通过制作循环彩灯来理解电路的原理,并了解灯光的运作机制。
同时,通过实践操作,培养我们的动手能力和创造力。
二、实验材料1. 彩灯:红、绿、蓝三种颜色的LED灯各3个2. 电阻:100欧姆、220欧姆、330欧姆各1个3. 电容:100μF、220μF、330μF各1个4. 电池:9V电池1个5. 面包板:1块6. 连接线:若干根三、实验步骤1. 将面包板连接到电池的正负极上,确保电路能够正常通电。
2. 将三种颜色的LED灯分别插入面包板上的不同位置,注意连接的极性。
3. 在每个LED灯的正极和负极之间分别插入不同电阻和电容,形成不同的电路。
4. 打开电池,观察LED灯的亮灭情况和颜色变化。
四、实验结果与分析通过实验观察,我们可以发现以下现象:1. 当电路中只有一个LED灯时,灯光亮起来,并且颜色与LED本身的颜色一致。
2. 当电路中加入电阻时,LED灯的亮度会有所变化,电阻越大,亮度越低。
3. 当电路中加入电容时,LED灯的亮度会有所变化,电容越大,亮度越高。
这些现象的发生可以通过以下原理解释:1. LED灯是一种半导体材料,当通过正向电流时,电子和空穴在半导体材料内复合,释放出能量,从而发出光线。
2. 电阻的加入会影响电流的流动,使得通过LED灯的电流减小,从而导致亮度的下降。
3. 电容的加入会使得电路中的电荷储存和释放更加平滑,从而使得LED灯的亮度更加稳定。
五、实验应用循环彩灯的制作不仅仅是为了理解电路的原理,还可以应用于各种场景中,例如:1. 舞台表演:通过控制循环彩灯的亮灭和颜色变化,可以创造出各种炫目的灯光效果,增加表演的视觉冲击力。
2. 节日庆典:在节日庆典活动中,循环彩灯可以装饰场地,营造出欢乐喜庆的氛围。
循环彩灯控制实验报告
中国计量学院电工电子实验中心电子版实验报告
实验课程:电路电子实验实验日期: 2009-10-14 实验项目:循环彩灯控制
班级: 07电气2班学号: 0700103212 姓名:
一、实验目的
1.学习计数器、译码器、发光二极管及相关芯片的使用方法。
2.掌握计数器、译码器、发光二极管及相关芯片的综合应用。
3.掌握用示波器测试计数器输出波形的方法。
二、实验任务
用74LS138、74LS161、74LS00、发光二极管等芯片实现一个彩灯的
循环控制。
三、实验仪器(设备名称与型号)
各色发光二极管、74LS161计数器、74LS138、74LS00、等芯片,导
线,电阻信号发生器,示波器,数字万用表等。
四、实验方案(包括简要原理及设计电路图等)
步骤:1.用万用表二极管挡、电阻2K挡或将导线连接+5V电源与输
出发光二极管等方法检查导线导通情况,当万用表发出蜂鸣声、阻值
示数约为0或发光二极管亮时,均表示导线导通。
2,根据电路原理图连接电路。
1、电路原理图
电路图(1)
电路图(2)
七、实验结论
八、实验思考题。
循环彩灯控制实训
循环彩灯控制实训
----定时器的熟练使用
2、I/O分配表
输入设备 启动开关 停止开关
I0.0 I0.1
输出设备 东西红灯 东西绿灯
东西黄灯 南北红灯 南北绿灯 南北黄灯
Q0.0 Q0.1 Q0.2 Q0.3 Q0.4 Q0.5
拓展练习
参考程序如下:
四、交通灯控制
• 交通灯控制要求: • (1)该单元设有启动和停止开关S1、S2,用 以控制系统的“启动”与“停止”。 • (2)交通灯显示方式。 • 当东西方向红灯亮时,南北方向绿灯亮,当绿 灯亮到设定时间时,绿灯闪亮三次,闪亮周期 为1秒,然后黄灯亮2秒,当南北方向黄灯熄灭 后,东西方向绿灯亮,南北方向红灯亮,当东 西方向绿灯亮到设定时间时,绿灯闪亮三次, 闪亮周期为1秒,然后黄灯亮2秒,当东西方向 黄灯熄灭后,再转回东西方向红灯亮,南北方 向绿灯亮……周而复始,不断循环。
导入语
• 广场的霓虹灯无处不见,在生活中漂亮 的彩灯数不胜数。我们知道了PLC在工 业中的应用,那么在生活中能否采用 PLC进行控制相关对象呢?今天我们通 过综合实践来研究一下,旨在提高大家 的编程能力
一、闪烁电路
• 控制要求:控制一个指示灯亮一秒灭一 秒 • 参考程序如下:
二、两盏灯控制
• 按钮SB1、SB2 控制灯L1、L2。 • 控制要求:按下按钮SB1灯L1亮,5秒后灯L2亮, 5秒后灯L1亮,如此循环。按下停止按钮SB2, 全部停止。 • 1)I/O分配 • 输入设备: • 启动按钮 I0.1 • 停止按钮 I0.2 • 输出设备: • 灯L1 Q0.1 • 灯L 2 Q0.2
循环彩灯实习报告
一、实习背景随着科技的不断发展,电子技术在我国得到了广泛的应用。
为了提高自己的专业技能,我在XX电子科技有限公司进行了为期一个月的循环彩灯实习。
本次实习旨在通过实际操作,了解循环彩灯的设计原理、制作工艺以及调试方法,提高自己的动手能力和团队协作能力。
二、实习内容1. 循环彩灯设计实习期间,我首先学习了循环彩灯的设计原理。
循环彩灯是指多个彩灯按照一定规律依次点亮,再依次熄灭的彩灯。
本次设计要求8个彩灯从左到右逐渐点亮,全部点亮后逐渐熄灭,每两个相邻灯点亮和熄灭的时间间隔为1秒。
在设计中,我使用了555定时器作为核心元件,通过控制定时器的输出脉冲,实现对彩灯的点亮和熄灭。
同时,为了实现彩灯的循环点亮,我还使用了74LS161计数器来计数,当计数器达到一定值时,控制彩灯熄灭,并重新开始计数。
2. 循环彩灯制作在了解了设计原理后,我开始进行循环彩灯的制作。
首先,我按照电路图焊接了电路板,并连接了各个元件。
在焊接过程中,我注意了焊接质量,确保电路板整洁、可靠。
接着,我将8个LED彩灯按照设计要求依次连接在电路板上。
为了使彩灯更加美观,我还使用了装饰性的灯带进行装饰。
在连接过程中,我注意了灯带和电路板的连接方式,确保连接牢固。
3. 循环彩灯调试在完成制作后,我开始对循环彩灯进行调试。
首先,我检查了电路板上的各个元件是否连接正确,确保电路通路畅通。
然后,我通过调整555定时器的参数,使彩灯的点亮和熄灭时间符合设计要求。
在调试过程中,我遇到了一些问题,如彩灯亮度不均匀、点亮和熄灭时间不准确等。
通过查阅资料和请教同事,我找到了解决问题的方法。
最终,我成功调试出了符合设计要求的循环彩灯。
三、实习收获1. 提高了专业技能通过本次实习,我对循环彩灯的设计原理、制作工艺以及调试方法有了更深入的了解。
在实习过程中,我学会了如何使用电子元件、焊接电路板以及调试电路,提高了自己的专业技能。
2. 培养了团队协作能力在实习过程中,我与同事们共同完成了循环彩灯的设计、制作和调试工作。
循环彩灯
循环彩灯控制的设计与制作
现代生活中,彩灯越来越成为人们的装饰品,它不仅能够美化环境,渲染气氛,还可以用于娱乐场所和电子玩具中,在实际工作中,能够实现某一设计要求的电路形式方案很多,本制作与数字电路紧密结合且容易实现电路方案。
此方案的循环彩灯控制电路由555定时器和74HC163同步四位二进制计数器和74HC154四线-16线译码器组成,图中使用了两条总线,使电路连线简单、清晰。
电路中的555定时器组成多谐振荡器,输出一定频率的矩阵脉冲,74HC163是同步四位二进制的计数器,当输入性周期性脉冲信号时,其输出为二进制数形式,并且随着脉冲信号的输入,其输出在0000-1111之间循环变化。
通过四线-16译码器74HC154,其16个输出端按照74HC163所加的二进制数依次变成低电平,哪个输出端为低电平,与它相连接的发光二极管就发光。
因任一时刻,只有一个发光二极管发光,因此所有发光二极管共用一个限流电阻。
将该电路的16个发光二极管组成一个环状,则发光二极管依次点亮时,就像一个光环在滚动一样。
彩灯循环控制电路设计
彩灯循环控制电路设计一、引言彩灯是一种非常受欢迎的装饰品,特别是在节日和庆典等场合,人们总是用彩灯来烘托气氛。
为了实现彩灯的循环控制,我们需要设计一个电路来控制它们的开关。
二、电路设计原理彩灯循环控制电路的设计原理主要基于555定时器和74HC595移位寄存器。
555定时器是一种常用的计时器,它可以产生周期性方波信号,并且可以通过改变电容和电阻值来调节输出频率。
74HC595移位寄存器则是一种串行输入并行输出的芯片,它可以将串行输入的数据转换成并行输出,并且可以通过移位操作来控制输出端口。
三、电路设计步骤1. 选择合适的555定时器和74HC595移位寄存器芯片,并根据数据手册确定引脚功能。
2. 设计基本的555定时器电路,包括外部元件如电容和电阻等,并确定输出端口。
3. 将555定时器输出端口连接到74HC595移位寄存器输入端口,通过移位操作将数据传输到寄存器中。
4. 设计驱动彩灯的开关电路,包括三极管、继电器或场效应管等,根据需要选择合适的元件。
5. 将驱动电路连接到74HC595移位寄存器输出端口,通过移位操作控制彩灯的开关状态。
四、电路实现细节1. 555定时器的输入电压应该在5V左右,如果过高或过低会影响输出频率。
2. 74HC595移位寄存器的串行输入端口需要连接到一个控制信号源,比如Arduino或Raspberry Pi等单片机。
3. 驱动彩灯的开关电路需要根据彩灯的功率和数量来选择合适的元件,并且需要注意防止过载和短路等问题。
4. 彩灯循环控制电路可以通过添加多个74HC595移位寄存器来扩展输出端口数量,从而控制更多的彩灯。
五、总结彩灯循环控制电路是一种基于555定时器和74HC595移位寄存器芯片设计的简单而有效的控制方案。
通过合理地设计和实现,可以实现对彩灯开关状态的精确控制,从而达到更好的装饰效果。
数电实验设计——彩灯循环闪烁
逻辑电路实验姓名:黄水兵学号:2011053208彩灯循环闪烁一、实验题目彩灯循环闪烁二、实验目的1、掌握基本门电路的应用,了解用简单门电路实现控制逻辑。
2、掌握计数器电路的设计方法。
3、掌握译码器电路的方法。
4、掌握555计时器构成多谐振荡器电路的方法。
三、实验器件74LS138(3-8译码器) 74LS04(非门)74LS160(十进制计数器) 555定时器7448(共阴七段译码驱动器)共阴数码管发光二极管 x8 电阻电容若干四、方案设计设计一个电路:在时钟脉冲的驱动下,控制彩灯循环闪烁。
并且显示几号灯被点亮。
根据所学过的电路知识,按照以上模块设计思想,利用74LS138(3-8译码器)、74LS04(非门)、74LS160(十进制计数器)、555定时器、7448(共阴七段译码驱动器)及数码管搭接电路。
电路实现框图如下:(1)设计要求1、彩灯能够自动循环闪烁。
2、彩灯循环显示且频率快慢可调。
3、该控制电路具有8路输出。
(2)时钟脉冲产生电路设计时钟脉冲产生电路由555定时器和外接元件R1、R2、R5、C1和C2构成多谐振荡器,脚THR与脚TRI直接相连。
右图即为时基脉冲产生电路模块。
电路没有稳态,仅存在2个暂稳态,电路亦不需要外加触发信号。
利用电源通过R1、R2向C1充电,以及C1通过R2、R5向放电端DIS放电,使电路产生振荡。
输出矩形波,为计数器提供脉冲源。
如右图(3)计数器电路设计74LSl60N具有异步清零和同步置数的功能。
为了实现8盏灯循环闪烁,本实验采用异步反馈清零法获得8进制计数器。
如图4所示,当Q。
Q。
Q。
Q.输出为l 000时,u。
输出1个低电平到CLR,将计数器清零,回到0000状态。
如右图(4)译码和显示电路的设计要求控制8盏灯循环闪烁,所以译码器选择具有8路输出的3~8线译码器74LSl38N。
8路输出端分别连接8盏彩灯。
译码器输出低电平时,彩灯点亮。
译码器输出高电平时,彩灯熄灭。
基于555定时器控制的循环彩灯设计与实现
• 160•本文利用555定时器作为时间控制器实现循环彩灯的设计。
系统主要利用数字电子技术相关知识进行设计,采用555定时器定时发送脉冲,74LS161得到脉冲后进行加法计数,利用74LS153芯片选通LED 达到流水效果。
通过实物焊接,最终实现循环彩灯效果。
随着生活质量的提升,人们对物质生活的要求也不断提高,商场内部为了吸引客户经常采取摆设各种声光表演,其中彩灯展示是一种常用的表演方式(刘淑英,74LS194在循环彩灯控制中的应用:装备制造技术,2008;韩芝侠,多路彩灯控制器实验设计与仿真:计算机与数字工程,2017)。
现有的彩灯多用具有控制功能的芯片通过编程实现,其价格高,不易维修等。
本文设计一种基于555定时器作为时钟控制芯片实现循环彩灯的设计(童诗白,模拟电子技术基础:高等教育出版社,2015;邱关源,罗先觉,电路(第五版):高等教育出版社,2006;康华光,电子技术基础•数字部分(第六版)北京:高等教育出版社,2013)。
1 设计任务与要求循环彩灯设计要求:共有红、绿、黄3色彩灯各9个,按一定顺序和时间关系运行;先红灯,后绿灯,再黄灯,分别亮1.5s 交替进行,接着,先红灯,后黄灯,再绿灯,分别亮5s 交替进行,以此循环;用7段式数字显示器显示循环次数。
2 总体设计电路由脉冲产生电路、脉冲选择电路、计数器电路、译码器电路、发光管驱动电路以及数码管显示等电路组成。
由555多谐振荡器产生周期脉冲信号,脉冲信号经过74LS161分频器电路分频产生周期为1.5s 和5s 的两路脉冲信号,74LS161构成四进制加计数器,将计数器输出的BCD 码经74HC138译码输出低电平,实现先红灯,后绿灯,再黄灯依次跑动,并利用计数器记录循环次数,并通过74LS47译码器让数码管显示循环次数,总体设计结构框图如图1所示。
图1 总体设计结构框图3 主电路设计3.1 多谐振荡器电路本设计采用555定时器构成时钟脉冲触发器,其作用为控制循环彩灯的闪烁时间、汉字显示时间以及触发电路动作。
基于集成定时器555的彩灯循环控制电路
基于集成定时器555的彩灯循环控制电路作者:胡俊海齐迹王英男朱凤武来源:《教育教学论坛》2017年第23期摘要:这一个是运用NE555构成的多谐振荡器及CD4017分频器实现彩灯循环控制电路的典型案例。
NE555是一种常用的集成定时器,由它加上外围电路可以产生脉宽可调的脉冲,这个脉冲作为CD4017的触发信号。
分频计数器CD4017通过管脚的设置,它的输出端依次产生高电平,从而顺序点亮发光二极管,产生流水效果。
关键词:集成电路;多谐振荡器;循环控制中图分类号:G642.41 文献标志码:A 文章编号:1674-9324(2017)23-0265-02一、设计内容、任务与目的本设计用NE555构成的多谐振荡器的输出脉冲作为分频器CD4017的输入信号,产生彩灯(发光二极管)循环点亮的流水效果,完成彩灯循环控制电路的设计。
通过设计来诱发学生的学习兴趣,锻炼学生的动手能力,使学生能够综合运用所学知识,解决实际问题。
设计目的如下:(1)掌握NE555集成定时器的工作原理,学习用NE555集成定时器组成多谐振荡器。
(2)加深了解十进制计数分频器CD4017的逻辑功能及使用方法。
(3)掌握实验方法与技能,学会综合运用知识解决实际问题,并能给出正确解决方法。
(4)培养学生实际动手能力以及分析解决问题能力。
二、教学与指导本设计是锻炼学生能够独立应用所学的NE555集成定时器来构成输出脉宽可调的多谐振荡器,与计数/分频器CD4017一起组成彩灯循环控制电路。
既有所学到知识,又有扩展的内容;既结合了理论知识又锻炼了动手能力,是理论与实际相结合的典型案例。
指导学生注意以下问题:1.先组接多谐振荡器。
在接线过程中要注意电源的记性以及极性电容的极性不要接反,直到NE555的输出端3脚接的发光二极管闪亮为止,说明振荡电路能输出方波脉冲。
之后可以用示波器观察输出脉冲的波形,通过改变可调电位器来改变占空比。
2.在多谐振荡器电路完成后,在组接计数分频器电路来驱动发光二极管电路。
51单片机实验-实验三 定时器实验——循环彩灯
实验3 定时器实验——循环彩灯一、实验目的1)学习8031内部计数器的使用和编程方法2)进一步掌握中断处理程序的编写方法二、实验设备PC机、星研Star16L仿真器系统+仿真头PODPH51(DIP)、EL-Ⅱ型通用接口板实验电路,PROTEUS仿真软件。
三、实验内容由8031内部定时器1按方式1工作,即作为16位定时器使用,每0.1秒钟T1溢出中断一次。
P1口的P1.0~P1.7分别接发光二极管的L1~L8。
要求编写程序模拟一循环彩灯。
彩灯变化花样可自行设计。
例程给出的变化花样为:①L1、L2、…L8依次点亮;②L1、L2、…L8依次熄灭;③L1、L2、…L8全亮、全灭。
各时序间隔为0.5秒。
让发光二极管按以上规律循环显示下去。
四、实验电路五、实验程序及注释基本内容:ORG 0000HLJMP STARTORG 001BH ;定时器/计数器1中断程序入口地址LJMP INTAORG 0100HSTART:MOV A,#01H ;首显示码MOV R1,#0H ;R1是彩灯花式表偏移量指针MOV DPTR,#TABE ;彩灯花式表头地址送DPTRMOV R0,#5H ;05是计数值MOV TMOD,#10H ;定时器置为方式1MOV TL1,#0AFH ;装入时间常数MOV TH1,#03CHORL IE,#88H ;CPU中断开放标志位和定时器1溢出中断允许位均置位SETB TR1 ;开始计时LOOP1:CJNE R0,#00,LOOP1MOV R0,#5H ;R0计数计完一个周期,重置初值INC R1 ;表地址偏移量加1CJNE R1,#36,LOOP2MOV R1,#0H ;如到表尾,则重置偏移量初值LOOP2:MOV A,R1 ;从表中取显示码入累加器MOVC A,@A+DPTRMOV P1,A ;将取得的显示码从P1口输出显示JMP LOOP1INTA:CLR TR1 ;停止计时DEC R0 ;计数值减一MOV TL1,#0AFH ;重置时间常数初值MOV TH1,#03CHSETB TR1 ;开始计数RETI ;中断返回TABE: DB 01H,03H,07H,0FH,1FH,3FH,7FH,0FFHDB 0FEH,0FCH,0F8H,0F0H,0E0H,0C0H,80H,00HDB 0FFHDB 00H,0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFHDB 07FH,0BFH,0DFH,0EFH,0F7H,0FBH,0FDH,0FEHDB 00H,0FFH,00HEND拓展内容:;NAME T5_TIME_DPTR ;定时器实验ORG 0000HLJMP STARTORG 001BH ;定时器/计数器1中断程序入口地址LJMP INTAORG 0100HSTART:MOV A,#01H ;首显示码MOV R1,#0H ;R1是彩灯花式表偏移量指针MOV DPTR,#TABE ;彩灯花式表头地址送DPTRMOV R0,#10H ;10是计数值MOV TMOD,#10H ;定时器选定为方式1MOV TL1,#0AFH ;装入时间常数MOV TH1,#03CHORL IE,#88H ;CPU中断开放标志位和定时器1溢出中断允许位均置位SETB TR1 ;开始计时LOOP1:CJNE R0,#00,LOOP1MOV R0,#10H ;R0计数计完一个周期,重置初值INC R1 ;表地址偏移量加1CJNE R1,#28,LOOP2MOV R1,#0H ;如到表尾,则重置偏移量初值LOOP2:MOV A,R1 ;从表中取显示码入累加器MOVC A,@A+DPTRMOV P1,A ;将取得的显示码从P1口输出显示JMP LOOP1INTA:CLR TR1 ;停止计时DEC R0 ;计数值减一MOV TL1,#0AFH ;重置时间常数初值MOV TH1,#03CHSETB TR1 ;开始计数RETI ;中断返回TABE: DB 01H,03H,07H,0FH,1FH,3FH,7FH,0FFHDB 0FEH,0FCH,0F8H,0F0H,0E0H,0C0H,80H,00HDB 0FFH,00HDB 01H,04H,10H,40H,80H,20H,08H,02HDB 0FFH,00HEND六、实验结果仿真结果跟实验结果相同:原程序:8个LED灯以0.5秒为间隔时间分别按照设定得花样亮灭:L1~L8依次点亮→L1~L8依次熄灭→L1~L8全亮→L1~L8全灭→L1~L8按顺序依次熄灭,每次只熄灭一个灯,其余灯均保持发光状态→L8~L1按顺序依次熄灭,每次只熄灭一个灯,其余灯均保持发光状态→循环修改后的程序:8个LED灯以1秒为间隔时间分别按照设定得花样亮灭:L1~L8依次点亮→L1~L8依次熄灭→L1~L8全亮→L1~L8全灭→L1、L3、L5、L7、L8、L6、L4、L2按顺序点亮,每次只亮一个灯→循环。
0301定时器控制彩灯闪烁程序设计
知识储备
› 1)通用定时器
在任意时刻,如果定时 器T0被断电或者是驱 动输入X000被断开, 定时器T0将被立即复 位,累计值清零、输出 触点复位。
当T0累计值等于设 定值K50时,定时 器T0的输出触点动 作,Y000得电。
X000接通,T0开 始对100ms的时钟 脉冲进行累计。
Байду номын сангаас 知识储备
› 2)积算定时器
在任意时刻,如果 定时器T250被断电 或驱动输入X000被 断开,定时器不会 被复位,累计值会 一直保持当前值, 同时输出触点的状 态也会一直保持。
X000接通,T250就对 100ms的时钟脉冲进行 个数累计。若累计值等 于设定值K200时,定 时器的输出触点动作。
只有当复位输入X001 为ON并执行T250的 RST指令,定时器才 会被复位,累计值清 零、输出触点复位。
任务实施
› (2)用触点比较指令设计
PLC执行[> T0 K0]指令和[< T0 K5] 指令, 判断T0的经过值是否在0~0.5秒时间段,如果 T0的经过值在0~0.5秒时间段内,则上述两个 比较触点接通,Y0线圈得电,彩灯HL点亮。
PLC执行[= T0 K10]指令,如果定时 器T0的当前值等于1秒,则比较触点 接通,PLC执行[RST T0 ]指令,定时 器T0复位,程序进入循环执行状态。
THANK YOU!
定时器控制彩灯闪烁程序设计
《PLC应用技术》
定时器应用程序设计
定时器控制彩灯闪烁程序设计
布置任务
启动后,彩灯点亮0.5秒、熄灭0.5秒灭,依次循环。
利用定时器设计 彩灯闪烁控制程序
0.5S 0.5S
任务分析
任务分析
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
单片机课程设计
———定时器实验
姓名:常冬伟
学号:01
班级:08自动化
指导老师:魏晋军
一、设计题目:
循环彩灯的设计。
由8031内部定时器1按方式1工作,即作为16位定时器使用,每0.1秒钟T1溢出中断一次。
P1口的P1.0~P1.7分别接发光二极管的L1~L8。
要求编写程序模拟一循环彩灯。
彩灯变化花样可自行设计。
例程给出的变化花样为:①L1、L2、…L8依次点亮;②L1、L2、…L8依次熄灭;③L1、L2、…L8全亮、全灭。
各时序间隔为0.5秒。
让发光二极管按以上规律循环显示下去。
二、设计目的:
1.学习8031内部计数器的使用和编程方法。
2.进一步掌握中断处理程序的编写方法。
3.掌握protel的使用。
4.掌握电路设计的一般方法和仿真过程。
5.提高查阅资料的能力、分析问题和解决问题的能力。
三、实验原理:
1、定时常数的确定
定时器/计数器的输入脉冲周期与机器周期一样,为振荡频率的1/12。
本实验中时钟频率为6.0 MHZ,现要采用中断方法来实现0.5秒延时,要在定时器1中设置一个时间常数,使其每隔0.1秒产生一次中断,CPU响应中断后将R0中计数值减一,令R0=05H,即可实现0.5秒延时。
时间常数可按下述方法确定:
机器周期=12÷晶振频率=12/(6×106)=2us
设计数初值为X,则(2e+16-X)×2×106=0.1,可求得X=15535 化为十六进制则X=3CAFH,故初始值为TH1=3CH,TL1=AFH
2、初始化程序
包括定时器初始化和中断系统初始化,主要是对IP、IE、TCON、TMOD的相应位进行
正确的设置,并将时间常数送入定时器中。
由于只有定时器中断,IP便不必设置。
3、设计中断服务程序和主程序
中断服务程序除了要完成计数减一工作外,还要将时间常数重新送入定时器中,为下一次中断做准备。
主程序则用来控制发光二极管按要求顺序燃灭。
四、设计步骤:
P1.0~P1.7分别接发光二极管L1~L8即可。
五、实验电路:
六、程序框图:
七、实验程序:
NAME T6 ;定时器实验
OUTPORT EQU 0CFB0H
CSEG A T 0000H
LJMP START
CSEG A T 401BH ;定时器/计数器1中断程序入口地址
LJMP INT
CSEG A T 4100H
START: MOV A,#01H ;首显示码
MOV R1,#03H ;03是偏移量,即从基址寄存器到表首的距离
MOV R0,#5H ;05是计数值
MOV TMOD,#10H ;计数器置为方式1
MOV TL1,#0AFH ;装入时间常数
MOV TH1,#03CH
ORL IE,#88H ;CPU中断开放标志位和定时器
;1溢出中断允许位均置位
SETB TR1 ;开始计数
LOOP1: CJNE R0,#00,DISP
MOV R0,#5H ;R0计数计完一个周期,重置初值
INC R1 ;表地址偏移量加1
CJNE R1,#31H,LOOP2
MOV R1,#03H ;如到表尾,则重置偏移量初值
LOOP2: MOV A,R1 ;从表中取显示码入累加器
MOVC A,@A+PC
JMP DISP
DB 01H,03H,07H,0FH,1FH,3FH,7FH,0FFH,0FEH,0FCH
DB 0F8H,0F0H,0E0H,0C0H,80H,00H,0FFH,00H,0FEH
DB 0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FH,0BFH,0DFH
DB 0EFH,0F7H,0FBH,0FDH,0FEH,00H,0FFH,00H
DISP: ;MOV DPTR,#OUTPORT
;MOVX @DPTR,A
MOV P1,A ;将取得的显示码从P1口输出显示
JMP LOOP1
INT: CLR TR1 ;停止计数
DEC R0 ;计数值减一
MOV TL1,#0AFH ;重置时间常数初值
MOV TH1,#03CH
SETB TR1 ;开始计数
RETI ;中断返回
END
八、实验心得:
在这次课程设计过程中锻炼了自己的思考能力和动手能力。
通过题目选择和设计电路的过程中,加强了我思考问题的完整性和实际生活联系的可行性。
在方案设计选择和芯片的选择上,培养了我们综合应用单片机的能力,对单片机的各个管脚的功能也有了进一步的认识。
还锻炼我们个人的查阅技术资料的能力,动手能力,发现问题,解决问题的能力。
并且我们熟练掌握了有关器件的性能及测试方法。
再次感谢老师的辅导以及同学的帮助,是他们让我有了一个更好
的认识,无论是学习还是生活,生活是实在的,要踏实走路。
课程设
计时间虽然很短,但我学习了很多的东西,使我眼界打开,感受颇深。