数字秒表课程设计 最大为99小时59分59秒
数字秒表课程设计说明书
1概述1.1课程设计的目的课程设计的目的主要是通过设计环节的实际训练,加深学生对该课程基础知识和基本理论的理解和掌握,培养学生综合运用所学知识的能力,使之在理论分析、设计、计算、制图、运用标准和规范、查阅设计手册与资料以及计算机应用能力等方面得到初步训练,促进学生养成严谨求实的科学态度。
1.2课程设计的技术要求(1)设计一个能测量八名运动员短跑成绩的数字秒表。
要求用四位数码管显示时间,格式为00:00s。
(2)秒表设置九个开关输入(清零开关一个和记录开关八个)。
按下记录开关,将当前计数时间暂存并显示在数码管上。
(3)确定设计方案,按功能模块的划分选择元器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
2数字秒表的设计与制作2.1设计方案选择本系统主要由555 定时器构成的多谐震荡电路,以74LS90芯片为核心的多功能计数器,以及以74LS48 和LED 共阴极数码管为核心的译码驱动显示电路等组成。
通过555 定 时电路产生一个100HZ 的脉冲信号(其对应最小计时单位0.01S ),在脉冲发生由高电平到低电平变化时驱动低位计数器进行计数,在驱动译码显示的同时,满进制向高位发出进位信号并自身清零。
可以通过外围控制电路实现对秒表的清零和显示暂停等功能。
本设计可以有以下几种常见的设计思路:其一是始终发生电路采用固定频率的晶振实现脉冲信号的产生,在经过分频器实现分频,最终得到100HZ 的信号。
其二是计数电路的设计可以通过74LS92 和74LS160实现,也可以由74LS290 实现,最终确定采用74LS90方案,因为此计数不需要进行置数(除了清零),因此采用74LS90比较简洁。
2.2系统模块组成数字秒表主要由多谐振荡电路、计数电路、寄存电路和译码显示电路组成。
系统组成框图如下图所示:图2.2.1 系统组成框图2.3系统功能要求(1)具有始终秒表系统功能要求显示功能,用四个数码管分别显示秒和分;(2)具有3种功能状态:系统时间运行状态,系统时间至零状态,暂存显示状态,通过输入控制信号可以使系统在这3个状态之间切换,使数码管显示相应状态的时间;(3)秒采用100进制计数,当计数到99时又会恢复为00;百分秒采用100进制计数,当计数到99时,向上进位并恢复00。
数字秒表课程设计报告
数字秒表课程设计报告湖北汽车工业学院课程设计( 说明书)数字秒表的设计班级/ 学号学生姓名指导教师一、概述数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,本文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。
本设计中数字秒表的最大计时是00.00——99.99s,也就是说分辨率是0.01秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。
在本次设计中由四片74LS161构成计数器来实现秒表的计数功能。
由于需要比较稳定的信号,用555定时器与电阻和电容组成的多谐振荡器产生0.01HZ的信号,用四个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。
二、工作原理要实现数字秒表的控制,则要求数字信号控制系统由555脉冲时钟输出信号,且计数器具有开关实现启动计时、暂停计时、继续计时、实现清零等功能,而且需要数码管能够显示出数字。
图1 数字秒表电路的原理框图三、电路设计1.555多谐振荡器脉冲时钟信号电路图3 脉冲时钟信号电路图当555定时器接成多谐振荡器时可以知道电路的振荡周期为T=(R1+R2)Cln2,振荡频率为f=1/T=1/(R1+R2)Cln2,通过改变R和C的参数即可以改变振荡频率,同时多谐振荡器的占空比为q=T1/T=R1+R2/R1+2R2,而且多谐振荡器只有当高电平内部才是导通的,所以应该使占空比q非常高,假定q=98%,根据计算R1=4.7kΩ,R2=4.7k Ω,C1=1μF,此时的T大约也为0.01s。
2.计数显示电路图5 计数显示电路图74LS161集成芯片为集成4位二进制同步加法计数器,具有异步置0、同步并行置数、计数及保持功能。
它有同步置数控制端LOAD,异步清零控制端CLR,工作模式控制端ENP、ENT,时钟输入端CLK,进位输出端RCO,并行数据输入端D~A,计数输出端QD~QA。
数字秒表课程设计 最大为99小时59分59秒教材
电子技术课程设计报告2013年12月前言数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。
本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。
当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。
由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。
目录题目摘要关键词设计要求 (3)第一章系统概述 (4)第二章单元电路与分析 (5)2.1 秒信号发生器 (5)2.1.1 选择信号发生器方案 (5)2.1.2石英晶体多谐振荡器 (7)2.1.3方案对比与选择 (9)2.1.4 555构成的多谐振荡器仿真图 (9)2.2消抖电路及其原理 (10)2.3分、秒、毫秒计数器电路设计 (10)2.3.1选择计数器的方案 (10)2.3.2 74LS160计数器功能的介绍 (11)2.3.3计数器最终连线图 (12)2.4译码器 (13)2.4.1译码器的基本原理 (13)2.4.2 74LS48显示译码器管脚图 (13)2.4.374LS48功能介绍 (13)2.5数码管 (15)2.5.1七段数码管工作原理 (15)2.5.2七段数码管内部结构介绍 (16)2.5.3显示器匹配电路图 (17)第三章系统综述 (18)3.1总电路图 (18)第四章结束语 (19)4.1课程总结 (19)4.2故障分析 (19)参考文献 (20)元件明细表 (20)鸣谢 (21)收获和体 (21)评语 (23)数字式秒表摘要:数字式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。
99秒电子秒表课程设计
99秒电子秒表课程设计一、课程目标知识目标:1. 学生能理解电子秒表的基本原理和功能,掌握其计时、停止、复位等操作方法。
2. 学生能够运用电子秒表进行简单的时间测量,并准确读取时间数据。
3. 学生了解电子秒表在日常生活和科学实验中的应用场景。
技能目标:1. 学生能够独立操作电子秒表,完成设定时间、开始计时、停止计时和复位等基本操作。
2. 学生能够运用电子秒表进行实际时间的测量,提高实验操作的准确性。
3. 学生能够通过电子秒表的使用,培养观察能力和动手操作能力。
情感态度价值观目标:1. 学生对电子秒表产生兴趣,激发探索科学技术的热情。
2. 学生在小组合作中,学会互相帮助、共同解决问题,培养团队协作精神。
3. 学生意识到时间的重要性,养成良好的时间观念,学会珍惜时间。
本课程针对中学生设计,结合电子技术学科特点,以实践性、趣味性和应用性为教学要求。
通过本课程的学习,使学生掌握电子秒表的使用方法,提高实验技能,同时培养他们的观察力、动手能力和团队协作精神,增强时间观念。
课程目标具体、可衡量,便于教师进行教学设计和评估。
二、教学内容本章节教学内容主要包括以下三个方面:1. 电子秒表基础知识:- 电子秒表的原理与结构- 电子秒表的种类及功能- 电子秒表的正确使用方法与注意事项2. 实践操作:- 电子秒表的实操演示与练习- 测量不同物体的自由落体时间- 小组合作完成99秒计时挑战任务3. 应用与拓展:- 电子秒表在日常生活和科学实验中的应用案例分析- 探讨电子秒表的发展趋势及新型秒表的特点- 学生分享电子秒表的使用心得,提高时间管理意识教学内容依据课程目标,注重科学性和系统性。
在教学过程中,教师将参照教材相关章节,合理安排教学内容和进度,确保学生能够掌握电子秒表的基础知识,并通过实践操作培养动手能力。
同时,通过拓展与应用环节,激发学生对科学技术的兴趣,提高时间管理意识。
三、教学方法针对本章节内容,采用以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:教师通过生动的语言和形象的表达,讲解电子秒表的原理、结构、功能及使用方法,使学生对电子秒表有全面、系统的认识。
数字秒表课程设计
一.设计要求设计并制作一个数显电子秒表,要求:1)能直接显示“分”“秒”“毫秒”的电子秒表;2) 要求最大能显示9”59’999;3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间;4)能通过按键复位。
二.题目分析:数字秒表是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。
通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由信号发生器、分频器、译码器、十进制计数器、六十进制计数器、一千进制计数器、控制电路组成。
在整体秒表中最关键的如何获得一个精确的1000HZ计时脉冲。
除此之外,数字秒表还需要有清零控制端以及启动控制端,以便数字时钟能随意启动计时、停止计时以及暂停计时。
分频器用来产生1000HZ计时脉冲;十进制计数器是用来对分进行计数;六十进制计数器是用来对秒进行计时,一千进制计数器是用来对毫秒进行计时;译码器是完成对7段数码管显示的控制。
按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞999,需要六个数码管,超过最大显示的数字要重新从0开始计数。
复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。
三.总体方案:因为数字秒表,所以必须有一个数字显示。
按设计要求,须用七段数码管来做显示器。
题目要求最大记数值为9”59’999,那则需要六个数码管。
要求计数分辨率为0.001秒,那么我们需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
由于晶体振荡器产生的脉冲更加的稳定,所以我们选择用晶体振荡器产生脉冲。
CP脉冲是由晶体振荡器构成的多谐振荡器,产生1000HZ脉冲。
秒计数60进制,分计数10进制,毫秒计数1000进制,输出为6片与CD4511芯片匹配的6片共阴极数码管,最大计时时长为9ˊ59〞,超过最大显示的数字要重新从0开始计数。
电子秒表9分59秒99毫秒
《电子技术》课程设计报告题目数字式秒表学院(部)太原科技大学华科学院专业自动化班级自动化102201H学生姓名孔祥云学号************月日至月日共周指导教师(签字)目录一.设计任务和要求二.总体框图三.选择器件四.功能模块五.总体设计电路图六.课程总结七.故障分析数字式秒表一.设计要求:1、秒表由五位七段LED显示器显示,其中一位显示“min”,四位显示“s”,其中显示分辨率为0.01s,计时范围为0~9分59秒99毫秒;2、具有清零、启动计时、暂停计时及继续计时等功能;3、控制开关为两个;启动(继续)/暂停计时开关和复位开关。
二.总体框图由上图数字式秒表电路的结构框图可知,整个电路由方波信号发生器,分频电路,计数显示电路,启停电路与清零电路,五部分组成。
设计时,计数显示电路组成时间显示电路,键控部分由于控制各部分电路,故在单元电路设计分析时省去。
故该电路可以分为脉冲信号发生器、分频电路、计数器电路、时间显示电路、控制电路五大单元电路三.选择器件1.74LS160计数进74LS160D的引脚如右图2-3-5所示,从图中可以看到74LS160D共有16个引脚吗,其中有Cp脉冲输入引脚clk(下降沿有效),LOAD为预置数控制端(低电平有效),CLR为异步清零端(低电平有效), A、B、C、D为预置数输入端,ENP和ENT是计数使能端(高电平有效),RCO是进位输出端,QD、QC、QB、QA分别是计数输出位,其工作原理图如图2-3-6所示.74LS160管脚分布图图2-3-6 74LS160计数器工作原理图1)异步清零:当CLR端输入为低电平时候,其它输入端不管输入什么值,计数器将直接清零,也就是说输出的QD、QC、QB、QA为0000.2)同步预置数:当CLR端输入高电平,LOAD端输入低电平时,且有Cp脉冲下降沿作用时,完成将输入端DCBA的数据置入计数器操作,使Q D Q C Q B Q A=DCBA.由于这个操作需要CP下降沿同步,所以称为同步预置数。
99秒表课程设计
99秒表课程设计一、课程目标知识目标:1. 学生能理解秒表的基本结构、工作原理及使用方法。
2. 学生能掌握时间的基本单位换算,如秒、分、时的转换。
3. 学生能运用秒表进行简单的计时活动,并准确读取时间数据。
技能目标:1. 学生能熟练操作秒表,进行开始、停止、复位等功能操作。
2. 学生能运用秒表进行实际生活中的时间测量,如实验、体育测试等。
3. 学生能通过秒表进行时间数据分析,提高解决问题的能力。
情感态度价值观目标:1. 学生培养对时间观念的尊重,养成守时、惜时的良好习惯。
2. 学生在小组合作中,培养团队协作精神和沟通能力。
3. 学生通过秒表的使用,激发对科学探索的兴趣,培养创新思维。
课程性质:本课程为实验操作与实践应用相结合的课程,旨在让学生在实际操作中掌握时间测量方法,提高实践能力。
学生特点:考虑到学生所在年级的特点,课程内容将从简单易懂、趣味性强的实践活动入手,引导学生逐步深入学习。
教学要求:教师应注重引导学生动手实践,关注学生的个体差异,鼓励学生提问和思考,以提高学生的综合素养。
同时,将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容1. 时间的基本概念:介绍时间的基本单位,如秒、分、时,及其相互换算方法。
2. 秒表的原理与操作:讲解秒表的结构、工作原理,学习秒表的操作方法,包括开始、停止、复位等功能。
3. 实践活动:a. 使用秒表进行简单计时,如测量物体自由下落时间、完成一项任务所需时间等。
b. 小组合作进行时间测量实验,如测量不同物体的运动速度、反应时间等。
c. 结合实际生活场景,运用秒表进行时间管理,如制定学习计划、锻炼计划等。
4. 时间数据分析:学习如何整理、分析时间数据,提高解决问题的能力。
5. 课堂总结与拓展:a. 总结本节课所学内容,强调时间观念的重要性。
b. 拓展学生思考,如如何运用秒表进行更复杂的时间测量,探讨时间的价值等。
教学内容依据课程目标进行选择和组织,确保科学性和系统性。
数字式秒表课程设计报告
2012 ~2013学年第 2 学期《数字电子技术》课程设计报告题目:数字式秒表专业:通信工程班级:11级通信二班姓名:涛、、文凯、芳琪王然、程洋洋、王国文、灿指导教师:王银花电气工程学院2013年6月04日1、任务书摘要关键词译码显示电路;R-S触发器;555定时器分频器在科技高度发展的今天,数字秒表在日常生活中是比较常见的电子产品,以其走时精确,使用方便,功用多而受广大用户所喜。
本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。
该数字计数系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。
其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分;复位电路是由机械开关,电阻,以及电源组成的电路部分;多谐振荡器是由555定时器以及其外围电路组成的电路分,它和分频器一起用来产生0.01秒的脉冲;译码显示电路由7448集成元件构成的电路部分;七段数码管电路由共阴极七段LED显示器,电阻和接地端组成的电路部分。
通过对各部分结构的了解,本实验从而设计出最大是为59.99秒的数字式秒表。
通过对实验了解到计数秒表的设计存在一些问题,但是这也充分说明了数字秒表还存在很大的提升空间,对计数精度可以进一步提高。
在设计实验中为了保证实验过程少走弯路,学会仿真是必要的,对本实验我们采用multism软件仿真,以便提高实验的正确性与可行性。
在平时的理论学习中遇到的问题都一一解决,加深了我对专业的了解,培养了我对学习的兴趣,为以后的学习打下了好的开端,我受益匪浅。
同时,让我明白:电子设计容不得纸上谈兵,只有自己动手实际操作才会有深刻理解,才会有收获。
目录第一章方案讨论 (1)1.1技术要求 (1)1.2方案论证与选择 (1)第二章电路设计 (2)2.1控制电路 (2)2.2 0.01秒脉冲发生电路 (2)2.3复位电路 (6)第三章实验芯片 (7)3.1计数器 (7)3.2译码器电路 (8)3.3七段数码管(LED) (9)第四章结论 (12)第五章参考文献 (12)第六章心得体会 (13)七、答辩记录及评分表 (14)附录 (15)第一章方案讨论1.1技术要求1.秒表最大计时值为59.99秒;2. 7位数码管显示,分辨率为0.01秒;3 .具有清零,启动计时,暂停及继续计数等控制功能;4.控制操作间不超过二个。
数字秒表
数字秒表的设计一.设计任务及要求1.设计任务:数字秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒2.设计要求:(1)数字秒表的计时精度是10ms;(2)复位开关可以在任何情况下使用,计时在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备;(3)具有启/停开关,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关则停止计时。
二.总体设计电路图三.选择器件与功能模块(1)sel模块设计文件sel.vhd.说明:CLK为信号输入端SELOUT[2..0]为选择到的信号输出SEL的设计程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel isport(clk: in std_logic;selout: out std_logic_vector(2 downto 0)); end sel;architecture one of sel issignal count: std_logic_vector(2 downto 0); beginprocess(clk)beginif clk'event and clk='1' thenif (count="101") thencount<="000";elsecount<=count+1;end if;end if;end process;selout<=count;end one;模块功能:CLK为扫描时钟脉冲,SELOUT端不停的发出扫描到的信号仿真图:说明:来一个上升沿,SELOUT的值增1,可以证明模块是正确的(2). 译码模块设计文件decode3_8.vhd说明:根据输入的SEL值大小来选择输出Q的值,用Q来控制灯哪位亮decode3_8的设计程序:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY decode3_8 ISPORT(SEL: IN std_logic_vector(2 downto 0);Q : OUT std_logic_vector(7 downto 0));END decode3_8;ARCHITECTURE a OF decode3_8 ISBEGINQ <= "11111110" when sel = 0 else"11111101" when sel = 1 else"11111011" when sel = 2 else"11110111" when sel = 3 else"11101111" when sel = 4 else"11011111" when sel = 5 else"11111111";END a;decode3_8的仿真图:说明:Sel的值递增,Q的相应位会亮,证明模块是正确的(3)choice模块设计文件choice.vhd.说明:a,b,c为控制信号;data1[3..0], data2[3..0], data3[3..0], data4[3..0], data5[3..0], data6[3..0]分别是毫秒的低位,毫秒的高位,秒的低位,秒的高位,分的低位,分的高位的数据值;ch_out[3..0]为选择输出端。
59分59秒秒表VHDL
秒表的实验报告一、试验要求:设计一个秒表,计时范围为0 59分59秒,精度为百分之一秒;能同时显示分秒信息(LED数码管)。
秒表的逻辑结构主要由、显示译码器、分频器、十进制计数器和六进制计数器组成。
在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。
秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。
二、实验目的:1、四个十进制计数器:用来分别对百分之一秒、十分之秒、秒和分进行计数;2、两个6进制计数器:用来分别对十秒何时分进行计数;3、分频率器:用来产生100Hz的计数脉冲;4、显示译码器:完成对显示译码的控制。
三、硬件要求:1、主芯片EP2C8Q208;2、6位八段扫描共阳极数码显示管;3、二个按键开关(归零,启动)。
四、实验内容及步骤:1、根据电路特点,将此设计电路分成若干模块,规定每个模块的功能和各个模块之间的接口,然后再将各个模块和起来联试。
2、了解软件各元件管理层次含义,以及模块元件之间的连接概念,对不同目录下的统一设计如何融合。
3、适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同。
4、安适配划分的管脚定位,同相关功能块元件之间的连接概念。
5、所有模块用VHDL语言描述。
五、实验源代码如下:1.分频器代码:将50MHz脉冲变成100Hzlibrary ieee;use ieee.std_logic_1164.all;entity div isport(clr,clk: in bit;q: buffer bit;q1:buffer bit);end div;architecture a of div issignal counter1:integer range 0 to 24999;signal counter2:integer range 0 to 4;beginprocess(clr,clk)beginif (clk='1' and clk'event) thenif clr='0' thencounter1<=0;elsif counter1=24999 thencounter1<=0;q<= not q;elsecounter1<=counter1+1;end if;end if;end process;process(q)beginif(q'event and q='1') thenif clr='0' thencounter2<=0;elsif counter2=4 thencounter2<=0;q1<=not q1;elsecounter2<=counter2+1;end if;end if;end process;end a;2.十进制计数器代码:原理为加法计数器,计数十时由cout进位library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count10 isport(clr,start,clk: in bit;cout: out bit;daout: out std_logic_vector(3 downto 0));end count10;architecture a of count10 issignal temp:std_logic_vector(3 downto 0);beginprocess(clk,clr)beginif clr='0' thentemp<="0000";cout<='0';elsif (clk'event and clk='1') thenif start='0' thenif temp>="1001" thentemp<="0000";cout<='1';elsetemp<=temp+1;cout<='0';end if;end if;end if;daout<=temp;end process;end a;3.六进制计数器代码:原理为加法计数器,计数六时由cout进位。
数字秒表课程设计最大为99小时59分59秒
电子技术课程设计报告2013年12月前言数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。
本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。
当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。
由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。
目录题目摘要关键词设计要求 (3)第一章系统概述 (4)第二章单元电路与分析 (5)2.1 秒信号发生器 (5)2.1.1 选择信号发生器方案 (5)2.1.2石英晶体多谐振荡器 (7)2.1.3方案对比与选择 (9)2.1.4 555构成的多谐振荡器仿真图 (9)2.2消抖电路及其原理 (10)2.3分、秒、毫秒计数器电路设计 (10)2.3.1选择计数器的方案 (10)2.3.2 74LS160计数器功能的介绍 (11)2.3.3计数器最终连线图 (12)2.4译码器 (13)2.4.1译码器的基本原理 (13)2.4.2 74LS48显示译码器管脚图 (13)2.4.374LS48功能介绍 (13)2.5数码管 (15)2.5.1七段数码管工作原理 (15)2.5.2七段数码管内部结构介绍 (16)2.5.3显示器匹配电路图 (17)第三章系统综述 (18)3.1总电路图 (18)第四章结束语 (19)4.1课程总结 (19)4.2故障分析 (19)参考文献 (20)元件明细表 (20)鸣谢 (21)收获和体 (21)评语 (23)数字式秒表摘要:数字式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。
数字电子技术课程设计--电子秒表的设计
数字电子技术课程设计--电子秒表的设计数字电子技术课程设计课程设计题目:电子秒表的设计目录摘要 (2)1引言 (3)1.1设计目的 (3)1.2技术要求 (3)1.2.1基本要求 (3)1.2.2提高要求 (3)1.3设计内容 (3)1.4工作原理 (3)2设计框图 (4)3各个部分功能简介 (5)3.1按键去抖电路 (5)3.2控制器电路 (6)3.3时钟产生电路 (8)3.4计时电路 (9)3.5显示译码电路 (10)3.6 50000分频电路 (11)4硬件仿真 (13)4.1顶层逻辑图 (13)4.2LB0介绍 (14)4.3硬件仿真 (14)5课程设计的心得体会 (15)参考文献 (16)附录 (17)摘要本文以数字电子技术作为理论基础、以quartusⅡ软件为开发平台、以相关电路知识作为辅助,实现电子秒表电路的设计和制作。
该电子秒表可以准确显示时间,范围为00.00—99.99。
并且可以手动调节时间,随时启动、清零、暂停记录时间等。
操作起来简易、方便。
首先,本文针对电子秒表进行初步框架设计,并在对多种方案进行了认真比较和验证的基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。
其次,在总体电路图组装完成以后,用quartusⅡ软件对设计好的电路进行了仿真与调试,并逐一解决设计过程中出现的一系列问题。
最后,对照着电子秒表设计方案,对制作好的电子秒表功能进行总体验证。
并利用学院的LB0开发板进行硬件仿真。
关键词:电子秒表计数器分频quartusⅡ、1引言1.1设计目的1)掌握同步计数器74160,74161的使用方法,并理解其工作原理。
2)掌握用74160,74161进行计数器、分频器的设计方法。
3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。
4)掌握电子秒表的设计方法。
5)掌握在EDA系统软件MAX + plus Ⅱ环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、管脚锁定和芯片下载的方法。
课程设计任务书-数字秒表的设计
一、设计任务1、设计题目:数字秒表的设计设计要求:(1)5V电源供电。
(2)数码管显示时间范围为:0~59秒。
2、(3)可自动复位和手动复位,能实现手动调整时间。
二、设计方案:1、方框图:功能说明:此电路是由8421码十进制计数电路,译码电路,数码显示电路,自动脉冲产生电路与门电路,手动复位电路,手动脉冲产生电路和电源电路八部分组成。
数字秒表电路是利用8421码十进制计数电路的计数功能,同时利用译码驱动电路,驱动数码显示电路发光显示数字。
其中,自动脉冲产生电路同与门电路组合可以实现0~59的循环计数,手动脉冲产生电路同与门电路组合可以选择0~59的任意数值,手动复位电路实现手动清零。
三、电路设计与分析1、8421码十进制计数电路和与门电路,自动脉冲产生电路,手动脉冲产生电路,自动脉冲产生电路及手动复位电路。
A、8421码十进制计数电路采用集成异步十进制计数器74LS90。
74LS90是二一五一十进制计数器,将输入时钟脉冲CLK接于CLK0端,并将CLK1端与Q0端相连,便构成8421码集成异步十进制计数器。
74LS90的逻辑图如下:二一五一十进制计数器74LS90逻辑图集成异步十进制计数器74LS90的电路图如下:74LS90的功能表如下:数学分析:(1)列出逻辑方程组①列出时钟信号的逻辑表达式CLK1=Q0 ; CLK2=Q2 ; CLK0=CLK②激励方程组L0=K0=1 ; J1=+;K1=1 ; J2=J1=1 ; J3= ; K3=1③(2)列出状态表:B、秒表的个位是通过将该位计数器的Q3与十位计数器的CLK0相连实现逢9向十位进1的逻辑功能。
C、秒表的十位通过将计数器的Q1、Q2相连和MR1,MR2相连,实现逢6清零的功能(祥见74LS90功能表),其中的与门电路用74LS08实现,其内部逻辑图如下所示:D、自动脉冲产生电路由函数信号发生器给出,可以实现0~59的循环计数。
E、手动脉冲产生电路可以选择0~59的任意数值,其电路图如下所示:每按下开关就有一个脉冲产生,C2起防抖作用。
[单片机课设]秒表时钟计时器的设计
单片机原理课程设计——秒表时钟计时器的设计专业:电气工程及其自动化方向:电力系统*****学号:************指导老师:***目录第1章方案论证 (3)第2章硬件设计 (5)2.1秒表/时钟计时器的总体设计 (5)2.2 AT89C52单片机最小系统 (6)2.3 74LS244芯片说明 (8)2.4 LED显示器的显示方法及其与单片机的接口 (8)2.5电源电路的设计 (10)第3章软件设计 (11)3.1主程序 (11)3.2显示子程序 (11)3.3定时器T0中断服务程序 (12)3.4 T1中断服务程序 (12)3.5调时功能程序 (13)3.6整点响程序 (13)3.7时钟/秒表功能程序 (13)3.8 程序清单 (13)第4章设计总结 (23)第1章方案论证现今的计时器通常只能通过启/停按键实现断点计时的功能,即通过启/停按键来记录一段时间。
这种计时器查看的时间只能为计时结束时刻。
实际的应用中往往需要在不影响正常计时的基础上,能查看记录过程中的某些点的时间。
本课设即针对此问题,设计了一种能通过按键方式查看记录过程中任一时刻值的计时器。
这种计时器在查看中间值时不会影响整个记录过程,并且能把相应数据送入存储模块及显示模块,以便查看。
本系统采用AT89C52单片机作控制器,LED数码管,实现显示时、分、秒,以24小时计时方式。
为了实现LED显示器的数字显示,可以采用静态显示法和动态显示法。
由于静态显示法需要数据锁存器等硬件,结构较为复杂,考虑时钟显示只有六位,且系统没有其他复杂的处理任务,所以采用动态扫描法实现LED的显示。
单片机采用AT89C52系列,有足够的空余硬件资源实现其它的扩充功能。
秒表/时钟计时器的总体设计框图如下图所示。
图1.1 系统总体设计框图系统主要实现如下功能:1.时钟功能对于时钟功能,需要在数码管上显示小时、分钟和秒钟,因此,可以在内部存储空间分别定义它们的显示缓存空间,来存放小时、分钟和秒钟的BCD码,各2个字节。
数字式秒表课程设计(1)
课程设计报告题目:数字式秒表学院:电气学院专业:自动化班级:姓名:学号:指导老师:2015年6月29日目录摘要第一章系统概述1.1 数字式秒表的设计要求与分析1.2 设计方案论证1.3 总体设计方案框图及分析第二章单元电路的设计与分析2.1 基准脉冲的获取2.2 控制电路的选择2.3 计数、译码、显示单元的设计第三章整体电路图3.1 总体电路图3.2 各部分工作原理第四章体会与收获4.1 遇到的问题及解决方案4.2 心得体会参考文献元器件明细表摘要本次的设计任务是一个数字秒表,而秒表与普通的钟表不同,它的目的是对从某一时刻到另一时刻的时间间隔进行计时。
在翻阅相关资料后,我们把秒表的设计分成了三大部分:基准脉冲产生部分;控制部分和计数、译码、显示部分。
基准脉冲产生部分由石英振荡器和由计数器组成的分频器构成。
在石英振荡器中,石英晶体的固有频率是1M Hz,即振荡器的输出为1M Hz的矩形脉冲。
而分频器将1M Hz分频为100 Hz的基准脉冲。
控制部分可由基本RS触发器和相应的开关组成。
计数、译码、显示部分中,将使用同步四位二进制加法计数器74LS161 来计数。
74LS48是BCD-7段译码器/驱动器,专用于驱动LED七段共阴极显示数码管。
第一章1.1 数字式秒表的设计要求与分析设计要求如下:秒表的最大计时值为99分59.99秒;6位数码管显示,分辨率为0.01秒具有清零、启动计时、暂停及继续计数等控制功能;首先,秒表的分辨率为0.01秒,故要获得频率为100 Hz的基准毫秒脉冲;其次,分、毫秒计数器为100进制计数器,秒计数器为60进制计数器;最后,用一个控制键实现秒表的暂停/继续计数功能,用另一个控制健实现秒表的清零/启动功能。
分别实现以上模块功能,即可设计出符合要求的数字秒表。
1.2设计方案论证即为数字式秒表,那么必须有数字显示。
按设计要求,须用数码管来做显示器。
题目要求最大记数值为99分59.99秒,那则需要六个数码管。
数字秒表微秒课程设计
数字秒表微秒课程设计一、课程目标知识目标:1. 学生能理解数字秒表的基本概念,掌握其计时原理和显示方式;2. 学生能够运用数字秒表进行简单的时间测量,并准确读取微秒级时间;3. 学生了解数字秒表在实际应用中的优势和局限。
技能目标:1. 学生掌握数字秒表的操作方法和使用技巧;2. 学生能够运用数字秒表进行科学实验的数据采集,并进行简单的数据分析;3. 学生能够通过实际操作,提高自己的动手能力和实验技能。
情感态度价值观目标:1. 学生培养对时间的尊重和珍惜,意识到时间的宝贵;2. 学生通过数字秒表的学习,增强对科学实验的热爱和探究精神;3. 学生在小组合作中,学会互相尊重、沟通与协作,培养团队精神。
课程性质:本课程为科学实验课,结合数学和物理知识,通过实际操作,让学生掌握数字秒表的使用。
学生特点:六年级学生具备一定的数学和物理基础,对科学实验充满好奇,喜欢动手操作。
教学要求:注重理论与实践相结合,强调学生的实际操作能力和团队合作能力,提高学生的科学素养。
通过本课程的学习,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。
二、教学内容1. 数字秒表基本概念:介绍秒表的定义、分类及数字秒表的特点;- 教材章节:第二章第三节“时间及其测量”2. 数字秒表计时原理:讲解数字秒表的工作原理、显示方式及精确度;- 教材章节:第二章第四节“数字电路及其应用”3. 数字秒表操作方法:教授数字秒表的使用步骤、操作技巧及注意事项;- 教材章节:实验指导手册第三章“实验器材的使用”4. 实际应用案例:展示数字秒表在科学实验、日常生活等方面的应用实例;- 教材章节:第四章第一节“时间的应用”5. 数据采集与分析:指导学生使用数字秒表进行实验数据采集,并进行简单的数据分析;- 教材章节:实验指导手册第四章“实验数据的收集与处理”6. 小组讨论与总结:组织学生进行小组讨论,分享实验心得,总结数字秒表的使用技巧;- 教材章节:第五章第二节“科学探究与合作学习”教学内容安排和进度:共4课时,第1课时介绍数字秒表基本概念和计时原理;第2课时教授数字秒表操作方法和注意事项;第3课时进行实际应用案例分析和数据采集;第4课时进行小组讨论与总结。
百分之一秒计时器课程设计报告
摘要随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。
人们对它的认识也逐步加深。
在秒表的设计上功能不断完善,在时间的设计上不断的精确。
电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合,如测定短时间间隔的仪表。
秒表有机械秒表和电子秒表两类。
机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒。
本次的设计任务是一个数字秒表,而秒表与普通的钟表不同,它的目的是对从某一时刻到另一时刻的时间间隔进行计时。
控制部分可由基本RS触发器和相应的开关组成。
计数、译码、显示部分中,将使用同步四位二进制加法计数器74LS161 来计数。
74LS48是BCD-7段译码器/驱动器,专用于驱动LED七段共阴极显示数码管。
最后通过仿真调试,在proteus环境下建立了仿真模型,仿真结果表明本设计是正确的。
关键词:基准脉冲秒表计数器目录摘要 (I)1 课题内容要求及目的 (1)1.1课题内容 (1)1.2课题目的及意义 (1)1.3 设计思路 (1)1.4 总体设计方案 (2)2单元电路的设计与分析设计 (2)2.1 基准脉冲电路设计 (2)2.2控制电路设计 (4)2.3显示单元电路设计 (8)3主体电路设计 (11)4系统调试与仿真 (11)4.1 proteus简介 (11)4.2仿真调试 (11)5总结 (14)参考文献 (15)元器件单 (16)致谢 (17)1 课题内容要求及目的1.1课题内容(1) 秒表的最大计时值为99分59.99秒;(2) 6位数码管显示,分辨率为0.01秒;(3) 具有清零、启动计时、暂停及继续计数等控制功能;(4) 控制操作键不超过三个数字式秒表的设计意义;(5) 用proteus仿真。
1.2课题目的及意义秒表的数字化常给人们的生活带来极大的方便,它广泛应用于社会的各个领域。
通过对数字式秒表的设计,我们可以清楚的了解到它的工作原理,进而也了解了数字钟表的工作原理。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术课程设计报告2013年12月前言数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。
本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。
当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。
由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。
目录题目摘要关键词设计要求 (3)第一章系统概述 (4)第二章单元电路与分析 (5)2.1 秒信号发生器 (5)2.1.1 选择信号发生器方案 (5)2.1.2石英晶体多谐振荡器 (7)2.1.3方案对比与选择 (9)2.1.4 555构成的多谐振荡器仿真图 (9)2.2消抖电路及其原理 (10)2.3分、秒、毫秒计数器电路设计 (10)2.3.1选择计数器的方案 (10)2.3.2 74LS160计数器功能的介绍 (11)2.3.3计数器最终连线图 (12)2.4译码器 (13)2.4.1译码器的基本原理 (13)2.4.2 74LS48显示译码器管脚图 (13)2.4.374LS48功能介绍 (13)2.5数码管 (15)2.5.1七段数码管工作原理 (15)2.5.2七段数码管内部结构介绍 (16)2.5.3显示器匹配电路图 (17)第三章系统综述 (18)3.1总电路图 (18)第四章结束语 (19)4.1课程总结 (19)4.2故障分析 (19)参考文献 (20)元件明细表 (20)鸣谢 (21)收获和体 (21)评语 (23)数字式秒表摘要:数字式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。
数字式秒表从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
本次实验所做数字式秒表由信号发生系统和计时系统构成。
由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。
计时系统由计数器、译码器、显示器组成。
计数器由74 –160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。
译码器由7447构成,为4-7译码。
显示器由数码管构成。
具体过程为:由晶体震荡器产生100HZ脉冲信号,传入计数系统,先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“99时“分”、“秒”、”依次在数码管显示出来。
该秒表最大计时值为99时59分59秒9/10,“分”和“99时”为一百进制计数器组成,“秒”为六十进制计数器组成。
关键词: 计时精度计数器显示器设计要求:1.秒表最大计时值为99时59分59秒9/10;2.7位数码管显示,分辨率为0.1秒;3.具有清零、启动计时、暂停及继续计数等控制功能;4.控制操作键不超过二个。
第一章 系统概述所为数字式秒表,所以必须有一个数字显示。
按设计要求,须用七段数码管来做显示器。
题目要求最大记数值为99,59,59,那则需要六个数码管。
要求计数分辨率为0.1秒,那么我们需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
其核心部分使用六个74160计数器采用串联方式构成,这种连接方式简单,使用元器件数量少。
由于555定时器的比较器灵敏度较高,输出驱动电流大,功能灵活,再加上电路结构简单,计算比较方便,所以CP 脉冲是由555多谐振荡器产生的。
数字式秒表实际上是一个频率(100HZ )进行计数的计数电路。
由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ 的时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
数字电子钟的总体图如图所示。
由图可见,数字电子钟由以下几部分组成:555振荡器和分频器组成的秒脉冲发生器;防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等图1-1 原理流程图第二章单元电路设计与分析§2.1秒信号发生器§2.1.1选择信号发生器方案方案一:用晶体震荡器,由石英晶体构成的矩形波信号发生器石英晶体多谐振荡器图2-1-1石英晶体的电抗频率特性和符号图2-1-2 石英晶体多谢振荡器如图2-1-1所示,给出了石英晶体的符号和电抗的频率特性,把石英晶体与对称式多谐振荡器中的耦合电容串联起来,就组成了如图2-1-2所示的石英晶体多谐振荡器。
由此可见,石英晶体多谐振荡器的振荡频率取决于石英晶体的固有谐振频率f0 ,而与外接电阻,电容无关,如石英晶体固有频率是5MHZ,那么输出的频率也是5MHZ。
其实石英晶体的谐振频率由石英晶体的结晶方向和外形尺寸所决定,具有极高的频率稳定性。
它的频率稳定度(Δfo / fo)可达10-10~10-11,足以满足大多数数字系统对频率稳定度的要求。
在图2-1-2电路中,若取TTL电路7404用作G1和G2两个反相器,Rf=1kΩ,C=0.05μF,则其工作效率可达几十兆赫。
74LS90计数器74LS90为中规模TTL集成计数器,可实现二分频、五分频和十分频等功能,它由一个二进制计数器、一个五进制计数器和一个十进制计数器构成。
其引脚排列图1.2(a)和功能表1.2(b)如下所示:图2-1-3 74LS90D 的引脚排列图74LS90功能: 通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R01(2管脚)、R02(6管脚)对计数器清零,借助R91(6管脚)、R92(7管脚)将计数器置9。
其具体功详述如下:(1) 计数脉冲从INA 输入,QA 作为输出端,为二进制计数器(或二分频器)。
(2) 计数脉冲从INB 输入,QD 作为输出端,为异步五进制加法计数器(或五分频器)。
(3) 若将INB 和QA 相连,计数脉冲由INA 输入,QD 作为输出端,则构成十进制加法计数器(或十分频器)。
(4) 清零、置9功能。
a) 异步清零当R01、R02均为“1”;R91、R92中有“0”时,实现异步清零功能,即QDQCQBQA=0000。
b) 置9功能当R91、R92均为“1”;R01、R01中有“0”时,实现置9功能,即QDQCQBQA =1001。
本设计采用的是74LS90的五分频和十进制计数功能。
其中,74LS90(1)~74LS90(4)是对5MHz 的脉冲信号进行十分频,得到500HZ 的频率,再经过74LS90(5)实现五分频,实现输入为100HZ(0.01s)。
§2.1.2石英晶体多谐振荡器图2-1-4石英晶体多谐振荡器工作原理:当信号源工作时,由石英晶体(固有频率为5MHZ)多谐振荡器输出5MHZ的频率。
首先,经过四个分频器74LS90四次十分频之后,得到500HZ的频率,最后,再经过74LS90一次五分频,就得到了最终的100HZ的信号源。
方案二:用集成电路555定时器与电阻和电容组成的多谐振荡器555定时器的功能555定时器组成及工作原理如下:图2-1-5 555定时器电路结构图如图2-1-5是555定时器电路结构的简化原理图和引脚标识。
由电路原理图可见,该集成电路由下述几部分组成:串联电阻分压电路、电压比较器C1和C2、基本RS触发器、放电三极管T以及缓冲器G组成。
(注释:编号555的内涵是因该集成电路的基准电压是由三个5kΩ电阻分压组成)定时器的功能主要取决于比较器,比较器C1和C2的输出控制着RS触发器和放电三极管T的状态,R D为复位端。
当R D=0时,输出U0=0,T管饱和导通。
此时其他输入端状态对电路清零0状态无影响。
正常工作时,应将R D接高电平。
当控制电压输入端5脚悬空时,比较器C1、C2的基准电压分别是2Ucc/3和Ucc/3。
如果5脚Uic外接固定电压,则比较器C1、C2的基准电压为Uic和Uic/2。
由图1中可知,若5脚悬空,当Ui6<2Ucc/3,Ui2<Ucc/3时,比较器C1、C2分别输出高电平和低电平,即R=1, S=0, 使基本RS触发器置1,放电三极管截止,输出Uo=1。
当Ui6<2Ucc/3,Ui2>Ucc/3时,比较器C1和C2输出均为高电平,即R=1, S=1.。
RS 触发器维持原状态,使Uo输出保持不变。
当Ui6>2Ucc/3,Ui2>Ucc/3时,比较器C1输出低电平,比较器C2输出高电平,即R=0,S=1,基本RS触发器置0,放电三极管T导通,输出Uo=0。
当Ui6>2Ucc/3,Ui2<Ucc/时,比较器C1、C2均输出低电平,即R=0,S=0。
这种状况对于基本RS触发器属于禁止输入状态。
综上分析,可得555定时器功能表如下表2-1-1所示:表2-1-1555构成的多谐振荡器当接通电源Ucc后,电容C上的初始电压为0 ,比较器C1、C2输出为1和0,使Uo=1,使放电管T截止,电源通过R1、R2向C冲电。
Uc上升至2Ucc/3时,RS触发器被复位,使Uo=0,T导通,电容C通过R2到地放电,Uc开始下降,当Uc降到Ucc/3时,输出Uo又翻回到1状态,放电管T截止,电容C又开始充电。
如此周而复始,就可在3脚输出矩形波信号。
图2-1-6 555构成的多谐振荡器电路图图2-1-7 555多谐振荡器工作波形§2.1.3方案对比与选择在仿真过程中,由于软件问题,晶体振荡器无法输出波形,所以虽然其频率稳定性很高,但其工作时,还需再加分频器,分频后的精确度很难调试,而总体来说555定时器的比较器灵敏度较高,输出驱动电流大,功能灵活,再加上电路结构简单,计算比较方便,所以最终只好选择由555多谐振荡器产生本课程设计所需的CP脉冲。
§2.1.4 555多谐振荡器仿真图根据设计要求,我们需要产生一个频率为100HZ的信号,由于f=1/T,带入可以算出R1=R1=4.8KΩ,在仿真软件上仿真的时候我们可以设置电阻为4.7KΩ,加上一个1KΩ的电位器来调节脉冲信号的精确度。
我们就可以得到一个频率为100HZ的脉冲了图2-1-8 555构成多谐振荡器仿真图§2.2 消抖电路及其原理图2-2-1 防抖开关图消抖原理:具有锁存功能所致,由两个集成与非门元件构成。