QuatusII简明使用指南

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA应用实习软件平台QuatusII简明使用指南

邹海英编

黑龙江工程学院电子工程系

2010年12月·哈尔滨

一、Quartus Ⅱ简介

Quartus II是美国Altera公司于2000年推出的FPGA/CPLD开发设计的集成软件环境,能够直接满足特定设8BA1需要,为可编程芯片系统(SOPC) 提供全面的设计环境,是Altera 前一代FPGA/CPLD开发软件MAX+PLUS II的更新换代产品。至今已相继推出了Quartus II 1.0,5.0,6.0,7.2等很多版本,2009年11月又推出最新的Quartus II软件9.1,与以前的软件版本相比,其新特性和增强功能将编译时间缩短了20%。

Quartus II 软件集成了FPGA 和CPLD 开发流程中所用到的所有工具和第三方软件接口。我们可以使用Quartus II 软件完成FPGA 和CPLD 设计的所有阶段,也可以在设计的不同阶段使用自己熟悉的EDA 工具,如在输入综合阶段利用第三方的输入与综合工具,如Leonardo Spectrum、FPGA Compiler II、Synplify、Synplify Pro等,在仿真阶段利用第三方的仿真工具,如ModelSim、VCS MX等。

Quartus II 软件同时支持自上而下或自下而上的渐进式设计流程以及基于模块的设计方法。使用Quartus II 模块编辑器、文本编辑器、Mega Wizard 插件管理器和EDA设计输入工具还可以设计Altera宏功能模块、参数化模块库(LPM) 功能和知识产权(IP)。

Quartus II 软件还提供全面的命令行界面解决方案。它允许使用命令行可执行文件和选项完成设计流程的每个阶段。另外,Quartus II还可以与MATLAB和DSP Builder相结合,进行FPGA的DSP系统开发。

二、Quartus II软件开发流程

Quartus II软件的开发流程如图2-1所示。主要包括设计输入、综合、布局布线、时序分析、仿真、编程和配置。

1.设计输入

设计输入即使用Quartus II软件的模块编辑器、文本编辑器、MegaWizard插件管理器及其他EDA输入工具,建立系统设计,并同时使用分配编辑器设定约束条件。

2.综合

综合是将建立好的设计翻译成由与门、非门和触发器等标准逻辑单元组成的链接,并根据目标器件和约束条件优化生成的逻辑链接,输出edf或vqm等标准格式的网络表文件。

3.布局布线

布局布线即是将综合后生成的网络表文件进行分析布局布线结果、优化布局布线等。

4.时序分析

时序分析允许用户分析设计中所有逻辑的时序性能,它可以观察和报告时序信息,如建立时间、保持时间、延时和最大时钟频率等时序特性。在默认情况下作为全编译的一部分自动运行。

5.仿真

仿真即是对用户的设计进行模拟验证。仿真分为功能仿真和时序仿真。功能仿真用来验证电路功能是否符合设计要求;时序仿真包含了延时信息,能够较好地反映芯片的工作情况。

6.编程和配置

编译成功后,就可以对器件进行编程(Program)和配置(configure),即通过编程器或变成电缆向FPGA或CPLD下载,以便进行硬件调试和验证。一般来说,将对CPLD的

下载成为编程,对FPGA 的SRAM 下载成为配置,但对于反熔丝结构和Flash 结构的FPGA 的下载和对FPGA 专业配置ROM 的下载仍称为编程。

图2-1 Quartus II 软件的开发流程

由于Quartus II 软件包括一个具有分析和综合器、适配器、汇编器和时序分析器等模块的模块化编译器,所以开发流程中的综合、时序分析以及布局布线中的适配都可由全编译命令一键运行,而分配编辑和引脚规划等均可归为约束输入,所以按照一般可编程逻辑器件的设计步骤,主要介绍设计输入与约束输入、编译、仿真、编程和配置。

三、操作步骤例解

(一)设计输入:

1、软件的启动:单击“开始”进入“程序”选中“Quartus II 6.0,打开“

”Quartus II 软件,

如图3-1所示。

图3-1

2、启动File\New菜单,弹出新建文件类型选择窗口,如图3-2所示。单击鼠标选择Block Diagram/Schematic File,单击OK,之后就直接进入新建的原理图编辑状态,如图3-3所示。

图3-2

图3-3

若在图3-2所示中选择最后一项VHDL File,单击OK,之后就直接进入新建的VHDL 文本编辑状态,如图3-4所示。

3、在设计电路之前要先保存文件,启动File\Save菜单,弹出命名窗口,如图3-5所示:

图3-5

选择文件存盘路径,注意,路径及文件不要采取汉字命名,命名文件后点击确定,弹出如图3-6对话框。注意文件名要和所描述器件的顶层实体名一致,当然名字最

好能够反映器件特性,并且不要与Quartus软件自带的库元件名相冲突。

图3-6

4、此时,软件问你是否需要建立与所建立文件相适应的工程文件,这里一定是点击“是”,即建立一个工程文件。弹出一个对话框,点击“Next”,出现对话框如图3-7所示:

图3-7

注意,这里第一项为项目存盘路径,默认为前面文件存盘路径,这里不要改动,下面两栏也不要改动,点击“Next”,出现对话框如图3-8所示:

图3-8

点击“Next”,出现器件系列和设置对话框,如图3-9所示:

图3-9

该对话框主要用来确定目标器件,以及目标器件的封装、引脚数量和速度等级。先在“Family(系列)”选项下拉菜单中选择“MAX7000S”,随后在“Available Devices”多选框中选择“EPM7128SLC84-15”,即EDA实验箱中的目标器件。如果以后需要修改,可以点击

Assignments/Device重新设置,点击“Next”,出现第三方工具对话框,如图3-10所示:

图3-10

这里我们使用Quartus II自带工具,不引入第三方EDA工具,直接点击“Next”,出现工

程总览对话框,如图3-11所示:

相关文档
最新文档