实验一 寄存器实验

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一寄存器实验

一、实验目的

1. 了解模型机中A, W寄存器结构、工作原理及其控制方法。

2. 了解模型机中寄存器组R0..R3结构、工作原理及其控制方法。

3. 了解模型机中地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT寄存器结构、工

作原理及其控制方法。

二、实验要求

1、A、W寄存器:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它

开关做为控制信号,将数据写入寄存器A,W。

2、R0、R1、R2、R3寄存器实验:利用COP2000实验仪上的K16..K23开关做为DBUS

的数据,其它开关做为控制信号,对数据寄存器组R0..R3进行读写。

3、MAR、ST、OUT寄存器:利用COP2000实验仪上的K16..K23开关做为DBUS的数

据,其它开关做为控制信号,将数据写入地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。

三、实验原理

寄存器的作用是用于保存数据的,因为我们的模型机是8位的,因此在本模型机中大部寄存器是8位的,标志位寄存器(Cy, Z)是二位的。

COP2000用74HC574(八位三态D触发器)来构成寄存器。74HC574的功能如下:

四、实验内容

(一)A,W寄存器实验

1.将85H写入A寄存器

2.将9DH写入W寄存器

(二)R0,R1,R2,R3寄存器实验

2. 将数据9CH由寄存器R2送到数据总线;

(三)MAR地址寄存器,ST堆栈寄存器,OUT输出寄存器实验

学生练习:1.将67H写入ST寄存器

2.将3CH写入OUT寄存器

相关文档
最新文档