多花样自动切换彩灯控制器的设计

合集下载

多种图案霓虹灯控制器设计 - 武汉理工大学

多种图案霓虹灯控制器设计 - 武汉理工大学

目: 多种图案霓虹灯控制器设计
初始条件:
1. 运用所学的模拟电路和数字电路等知识; 2. 用到的元件:实验板、电源、连接导线、74 系列芯片、555 芯片等。
要求完成的主要任务:
1. 现有 4 只彩灯,红-绿-蓝-黄,试设计控制器,要求彩灯能实现如下追逐图 案,彩灯控制器的三种图案及其状态转换如下所示: 2. 摇摆状态 0101←→1010,重复 6 次。 3. 暗点循环 0111→1011→1101→1110→0111→这样重复循环 3 次。 4. 霓虹灯控制工作状态按照上述 2 至 3 步自动重复循环。时间间隔为 1 秒。 5. 严格按照课程设计说明书要求撰写课程设计说明书。
3
武汉理工大学《电工电子综合课程设计》
1 设计意义及要求
1.1 设计意义
霓虹灯已经成为城市必不可少的一部分,每个夜晚,霓虹灯光怪陆离的灯光效果,将 我们的城市装扮得五彩缤纷。霓虹灯的发展和应用随着城市化进程的加快而愈发迅猛,彩 灯种类丰富,变化多样,对彩灯图案的控制也显得十分重要。掌握控制电路的设计方法与 技巧,对今后的学习生活各方面会有很大的帮助。 大二一年学习了模拟电路和数字电路的知识,刚好可以应用到霓虹灯图案的控制中 来。利用模拟电路和数字电路的知识,设计出符合要求的控制电路,是本次课程设计需要 完成的任务。 本次课程设计的意义在于培养学生的动手能力,学会将所学知识综合应用到实际设计 中来,将知识转化为实物,既能加深对所学知识的认识,又能锻炼设计思维和创新能力, 从而提高学生的综合能力,为今后走向社会打下基础。同时,本次课程设计要求同学们除 了设计自己的方案,还要以小组的形式设计出小组方案,既能培养大家的独立思考能力, 又能增强大家的团队协作意识。
2
武汉理工大学《电工电子综合课程设计》

四花样彩灯控制器课程设计

四花样彩灯控制器课程设计

四花样彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解并掌握四种花样彩灯控制原理,包括亮度调节、颜色变换、闪烁模式和序列控制。

2. 学生能描述常见电子元件(如电阻、电容、二极管等)在彩灯控制器中的作用及相互关系。

3. 学生能运用基础电路知识,分析并解释彩灯控制器电路图。

技能目标:1. 学生能够独立设计并搭建一个简单的四花样彩灯控制电路。

2. 学生通过实际操作,掌握测试和调试电路的技巧,能够解决常见的电路故障。

3. 学生能够运用信息技术工具(如编程软件)对彩灯控制器进行编程,实现个性化效果。

情感态度价值观目标:1. 学生在小组合作中培养团队精神和沟通能力,尊重他人意见,共同完成项目。

2. 学生通过创意设计,激发创新思维,增强解决实际问题的自信心。

3. 学生能够意识到科技与日常生活的紧密联系,培养对电子科技的兴趣和爱好。

本课程针对初中电子技术课程设计,考虑学生年龄特点和认知水平,注重理论知识与实践技能的结合。

课程目标具体明确,可测量,旨在通过动手实践和项目导向学习,提高学生对电子科技的兴趣和实际应用能力。

通过分解课程目标为具体的学习成果,教师可进行有效的教学设计和学习成果评估。

二、教学内容1. 电子元件基础知识:介绍电阻、电容、二极管等基础元件的功能和用途,对应教材第三章第一、二节。

- 电阻的阻值和种类- 电容的充放电特性- 二极管的单向导通原理2. 彩灯控制原理:讲解彩灯的亮度调节、颜色变换、闪烁模式和序列控制技术,对应教材第三章第三节。

- 亮度调节的原理与方法- 颜色变换的电路设计- 闪烁模式和序列控制的实现3. 电路图的识读与分析:学会识读并分析彩灯控制器电路图,对应教材第三章第四节。

- 电路图的常见符号- 彩灯控制器电路图的识读- 电路分析与故障排查4. 实践操作:动手设计并搭建四花样彩灯控制电路,对应教材第三章实验部分。

- 选用合适的电子元件- 搭建电路并进行测试- 调试电路并解决故障5. 编程与控制:运用编程软件对彩灯控制器进行编程,实现个性化效果,对应教材第四章第一节。

综合电子课程设计--花型多变的彩灯控制器

综合电子课程设计--花型多变的彩灯控制器

目录摘要 (Ⅰ)1.概述 (1)1.1设计任务 (1)1.2设计要求 (1)2.系统硬件设计方案 (1)3.系统软件设计方案 (2)3.1软件设计概述 (2)3.2程序流程图 (4)4.系统仿真 (8)4.1功能简介 (8)4.2 系统仿真 (8)5.课程设计总结 (10)致谢 (10)参考文献: (10)附录1.源程序代码 (11)附录2.系统原理图 (13)1.概述1.1设计任务设计一个花型多变的彩灯控制器,要求具有4种花型,每种花型有六个节拍,每个节拍控制6个(或6组)彩灯亮灭,六个节拍完成一个花型循环。

另外花型要方便修改,花型之间能自动切换。

1.2设计要求(1) 每种花型有六个节拍,每个节拍控制6个(或6组)彩灯亮灭,六个节拍完成一个花型循环。

例如6个彩灯依次循环点亮、6个彩灯依次循环不亮等等。

(2) 设计4种花型。

花型1、花型2、花型3、花型4自定义。

但要求可方便修改。

(3) 设计4种花型自动切换电路。

花型1结束后自动选择到花型2,花型2结束后自动选择到花型3……, 花型4结束后自动选择到花型1。

2.系统硬件设计方案按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。

80C51单片机由先进CMOS工艺制造并带有非易失性Flash程序存储器,全部支持12时钟和6时钟操作P89C51X2和P89C52X2/54X2/58X2分别包含128字节和256字节RAM,32条I/O口线3个16位定时/计数器,6输入4优先级嵌套中断结构,1个串行I/O口,可用于多机通信I/O扩展或全双工UART以及片内振荡器和时钟电路。

彩灯控制器的具体硬件组成如图2.1所示。

图2.1 彩灯控制器硬件原理图本彩灯控制系统实际上就是一个带有六个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。

从图中可以看出,如果要让接在P1.0口的D1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要让接在P1.0口的D1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.5口的其他5个D的点亮和熄灭的方法同D1。

多路彩灯控制器的设计(精选五篇)

多路彩灯控制器的设计(精选五篇)

多路彩灯控制器的设计(精选五篇)第一篇:多路彩灯控制器的设计多路彩灯控制器的设计一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。

二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。

因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。

(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1.彩灯实现快慢两种节拍的变换;2.8路彩灯能演示三种花型(花型自拟);3.彩灯用发光二极管LED模拟;4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。

(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3.注意布线,要直角连接,选最短路径,不要相互交叉;4.注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。

三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。

第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。

主体框图如下:方案二:在方案一的基础上将整体电路分为四块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。

并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。

数字电子技术课程设计——四花样彩灯控制器

数字电子技术课程设计——四花样彩灯控制器

一、设计要求1.1 设计课题及要求(一)题目:四花样彩灯控制器(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现(1) 彩灯一亮一灭,从左向右移动;(2) 彩灯两亮两灭,从左向右移动;(3) 四亮四灭,从左向右移动(4) 从1~8从左到右逐次点亮,然后逐次熄灭;(5) 四种花样自动变换。

(三)主要参考元器:555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。

二、系统组成及工作原理2.1 系统组成框图把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。

系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。

设计框图如图2.1所示:由两个555构成两个时钟电路,由模十六计数器和组合逻辑门构成四种码产生电路,由双D 触发器和数据选择器构成开关电路,由移位寄存器和八个彩灯构成输出电路,一个时钟控制模十六计数器和移位寄存器,另一个时钟控制双D触发器。

2.2工作原理分析从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。

调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。

多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。

多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。

这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA,QB,QC,QD四个分频信号。

其作用相当于一个受控的一刀四位的机械转换开关。

当双D触发器输出为“00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“11”时数据选择器输出1111111100000000序列脉冲,为十六分频信号,实现花样四。

多花样循环彩灯控制器设计报告

多花样循环彩灯控制器设计报告

1.设计意义及要求1.1设计意义对《数字电子技术基础》学习,我们掌握一些关于数字电子技术的基础知识和基本技能,具备了在日常生活与学习中应用数字技术解决问题的基本态度与基本能力但是对于各种门电路、元器件芯片的结构及其工作原理等知识,我们的理解依然比较肤浅。

通过为期两周的课程设计,可以让我们对课堂上的知识有更深入的理解,同时做到理论与实际相结合。

此外,课程设计能够最直接有效的训练我们的创新思维,提高分析问题、解决问题的能力。

1.2设计要求1.使16只彩灯从右到左逐一循环点亮2.使16只彩灯按照1111 1110 1111 1110左移循环点亮,即最开始为第8只和第16只彩灯亮,紧接着熄灭,同时第7只和第15只彩灯亮....依此循环点亮。

3.使16只彩灯交替闪烁。

4.使中间两个彩灯发亮,然后从两边再增加两个发亮,一直下去,直到16个彩灯全亮。

5.接着重复以上动作,这样一直循环下去。

时间间隔为1秒。

2.方案设计2.1 设计思路首先需要个脉冲源信号刺激,之后对于流水灯的移位功能可以采取寄存器来实现,本实验采用的是74LS198芯片。

总体方案共分为6大块。

第一块实现实现左移循环,第二块实现两两左移循环点亮,第三块实现交替闪烁,第四块实现向外扩散,第五块实现时钟信号的产生,第六块实现计数器。

2.2 方案设计2.2.1设计方案一电路图图2-1 方案一方框图2.2.2. 设计方案二电路图本方案实现的核心是MCU 控制电路,以AVRmega16单片机为控制核心,用C 语言编程,控制彩灯实现多花样循环功能。

AVR 单片机最小系统AVRmega16单片机和复位电路,还有晶振电路(16Mhz )。

AVR 单片机具有高性能、低功耗的特点使用AVR 的时钟源(晶振、内部RC 等)不经过分频直接提供给CPU 使用,而51的CPU 主频等于晶振的12分频此最小系统实现对系统的控制等功能。

系统框图如下:图2- 3 方案2电路2.3 方案比较方案一是采用数电模块,元器件较多,接线较为复杂,但功能明确,容易看懂方案二采用单片机制作,电路简单,但对于没学过单片机的同学有理解鉴于本次课程设计主要是数字电路课程设计,所以我选择了第一组方案。

彩灯控制器设计:多路彩灯控制器的课程设计---技术篇

彩灯控制器设计:多路彩灯控制器的课程设计---技术篇

多路彩灯控制器内容摘要:彩灯控制器可以自动控制多路彩灯按不同的节拍循环显示各种灯光变换花型,可以以两种不同的频率分别显示几种不同的花型。

一、多路彩灯控制器的实际意义:本文介绍的这种彩灯控制器, 具有动感性能, 非常有趣。

由于采用集成电路制作, 工作稳定可靠, 制作容易, 具有实用价值, 可用于广告灯箱等二、设计内容及要求:1. 设计内容:本课题要求设计一台以两种不同的频率分别显示几种不同的花型的多路彩灯控制器。

2. 设计要求:彩灯控制器是以高低电平来控制彩灯的亮与灭。

如果以某种节拍按一定规律改变彩灯的输入电平值,控制才等的亮与灭,即可以按预定规律显示一定的花型。

因此彩灯控制电路需要一个能够按一定规律输出不同高低电平编码信号的编码发生器,同时还需要编码发生器所要求的时序信号和控制信号。

综上所述,彩灯控制器应该由定时电路、控制电路、编码发生器电路以及驱动电路组成。

三、电路工作原理:定时电路产生两种不同的快慢节拍,用来以两种不同频率的节拍显示彩灯的花型,其中振荡器采用简单易行的555振荡器来实现,555所产生的信号经过两片161级联之后进行分频,其中第一次产生快慢节拍的分频由D触发器实现。

信号经过分频之后经过控制电路来实现花型的变化,编码发生器产生编码后控制灯的亮与灭来实现多路彩灯的花型。

该控制器共控制8路彩灯,花型要求不多,故采用移位寄存器来组成彩灯控制电路。

四、系统需要的元器件7400 1片7404 1片7408 1片7420 1片7232 1片7474 1片74139 1片74161 2片74194 2片555定时器 1片发光二极管绿色和红色4个电容0.01uf和4.7uf各一电阻5.1K和150K各一,220欧姆1个五、选定系统设计方案,画出系统框图多路彩灯控制器系统框图如下所示:其中定时器由555振荡器及少量电阻、电容构成,产生的脉冲经过D触发器及门电路组成的快慢节拍分频电路,产生在不同的时间段频率不相同的两种脉冲。

多彩循环彩灯控制器设计

多彩循环彩灯控制器设计

1设计意义及要求1.1 设计意义(1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。

(2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。

(3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。

(4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。

(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。

1.2 设计要求现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:(1)使8只彩灯从右到左逐一循环点亮。

(2)使8只彩灯按照 1110 1110左移循环点亮。

(3)使8只彩灯交替闪烁。

(4)接着重复以上的动作,这样一直循环下去。

时间间隔为0.5秒。

(5)严格按照课程设计说明书要求撰写课程设计说明书。

2 方案设计2.1 设计思路2.1.1 设计方案一设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。

由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。

彩灯花样控制电路由74LS198和门电路构成。

循环选择控制电路由74LS161和门电路组成。

图2.1 多彩循环彩灯控制器设计方案一系统框图具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。

在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。

给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。

2.1.2 设计方案二(小组方案)此方案运用AT89S52单片机,通过IO口直接驱动LED灯。

数电课程设计-四花样彩灯控制器

数电课程设计-四花样彩灯控制器

数电课程设计-四花样彩灯控制器(总22页)-CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除课程设计说明书课程设计名称:数字电路课程设计课程设计题目:四花样彩灯控制器学院名称:信息工程学院专业:通信工程班级: 110422 学号:姓名:陈粤龙评分:教师:20 13 年 9 月 23 日数字电路课程设计任务书20 12 -20 13 学年第 1 学期第 19 周- 20周题目四花样彩灯控制内容及要求(1) 彩灯一亮一灭,从左向右移动(2) 彩灯两亮两灭,从左向右移动(3) 四亮四灭,从左向右移动(4) 从1~8从左到右逐次点亮,然后逐次熄灭(5) 四种花样自动变换。

进度安排1. 布置任务、查阅资料、选择方案、领仪器设备:2天2. 仿真、画PCB线路图:2天3. 领元器件、制作、焊接:3天4. 调试:2天5. 验收:1天6. 提交报告:2013-2014学年第一学期2-3周学生姓名:陈粤龙指导时间:2周指导地点: E610 任务下达2013年 9月 22日任务完成2013 年 9 月 25日考核方式 1.评阅□ 2.答辩□ 3.实际操作□ 4.其它□指导教师系(部)主任注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。

本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。

本次课程设计要设计一个四花样彩灯控制器。

首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。

可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。

根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。

数字电子技术课程设计——四花样彩灯控制器

数字电子技术课程设计——四花样彩灯控制器

一、设计要求1.1 设计课题及要求(一)题目:四花样彩灯控制器(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现(1)彩灯一亮一灭,从左向右移动;(2)彩灯两亮两灭,从左向右移动;(3)四亮四火,从左向右移动(4)从1〜8从左到右逐次点亮,然后逐次熄灭;(5)四种花样自动变换。

555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04, 四选一数据选择器74LS153,八位移位寄存器74LS164。

二、系统组成及工作原理2.1 系统组成框图把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。

系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。

设计框图如图 2.1所示:由两个555构成两个时钟电路,由模十六计数器和组合逻辑门构成四种码产生电路,由双 D 触发器和数据选择器构成开关电路,由移位寄存器和八个彩灯构成输出电路,一个时钟控制模十六计数器和移位寄存器,另一个时钟控制双D触发器。

2.2工作原理分析从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。

调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。

多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。

多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。

这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA QB QC QD四个分频信号。

其作用相当于一个受控的一刀四位的机械转换开关。

当双D触发器输出为“ 00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“ 10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“ 01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“ 11”时数据选择器输出1111111100000000 序列脉冲,为十六分频信号,实现花样四。

数字电路课程设计之四花样彩灯控制器

数字电路课程设计之四花样彩灯控制器

课程设计说明书课程设计名称:数字电路课程设计课程设计题目:四花样彩灯控制器学院名称:信息工程学院专业:班级:学号:姓名:评分:教师:20 年月日数字电路课程设计任务书20 12 -20 13 学年第一学期第 6 周-7 周题目四花样彩灯控制器内容及要求〖基本要求〗设计一四花样自动切换的彩灯控制器,要求实现(1) 彩灯一亮一灭,从左向右移动(2) 彩灯两亮两灭,从左向右移动(3) 四亮四灭,从左向右移动(4) 从1~8从左到右逐次点亮,然后逐次熄灭(5) 四种花样自动变换。

〖主要参考元器件〗555,74LS93,74LS74,74LS153,74LS164进度安排1.布置任务、查阅资料、选择方案,领仪器设备:2天;2. 领元器件、制作、焊接:3天3.调试+验收:2.5天4. 提交报告:2012-2013学年第一学期9~13周学生姓名:、指导时间:第6~7周指导地点: E 楼、室任务下达2012 年、月、日任务完成20 12 年、月、日考核方式 1.评阅□ 2.答辩□ 3.实际操作□√ 4.其它□指导教师、系(部)主任、注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

目录前言……………………………………………………………………………………、第一章设计要求………………………………………………………………………、1.1 设计课题及要求…………………………………………………………、第二章系统组成及工作原理………………………………………………………、2.1 系统组成框图………………………………………………………………、2.2 工作原理分析……………………………………………………………、第三章电路方案设计…………………………………………………………………、3.1 电路图设计…………………………………………………………………、3.2 方案比较…………………………………………………………………、第四章单元电路设计与计算……………………………………………………、4.2 四种码产生电路…………………………………………………………、4.3 彩灯自动转换控制电路……………………………………………………、4.4 花样输出电路………………………………………………………………、第五章实验、调试及测试结果分析……………………………………………、结论…………………………………………………………………………………、参考文献…………………………………………………………………………、、、第一章设计要求1.1 设计课题及要求(一)题目:四花样彩灯控制器(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现(1) 彩灯一亮一灭,从左向右移动;(2) 彩灯两亮两灭,从左向右移动;(3) 四亮四灭,从左向右移动;(4) 从1~8从左到右逐次点亮,然后逐次熄灭;(5) 四种花样自动变换。

彩灯控制器的设计

彩灯控制器的设计

彩灯控制器的设计一.设计任务题目:彩灯控制器的设计功能:试设计一种彩灯控制器控制8盏灯。

该彩灯控制器有4种自动切换的花样。

第一种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮;第二种花样为彩灯两边同时亮一个逐次向中间移动再散开;第三种花样为彩灯两边同时亮两个逐次向中间移动再散开;第四种花样为彩灯两边同时亮三个,然后四亮四灭,四灭四亮,最后一灭一亮。

四个花样自动变换,重复以上过程。

输入时钟频率为50Hz,灯亮的时间在1—4秒之间,可以自由控制。

电路中以“1”代表灯亮,以“0”代表灯灭。

扩展功能:第五种花样为两亮两灭,两灭两亮;第六种花样为彩灯两边同时熄灭一个逐次向中间移动再散开;第七种花样为彩灯一亮一灭,一灭一亮,重复4次。

七种花样自动变换,重复以上过程。

要求:1)设计思路分析要条理清楚2)总体设计应画一流程图3)程序要加必要的注释4) 编写测试模块,并给出仿真波形5) 程序一定要经得起测试,宁可功能少一些,也要能运行起来,不能运行的程序是没有价值的。

二.软件环境操作系统:Windows 7操作系统;编程语言:Verilog-HDL;操作软件:ModelSim SE 6.2三.设计思想及流程图1. 设计思想:每个花样可以做成一个模块,每个模块完成就切换到下一个模块。

每个模块的基本思想都是可用寄存器预先存储各个状态再依次输出。

七个花样自动变换,重复以上过程。

2.流程图四.源代码底层模块module LIGHT ( CLR,CLK,F );input CLK,CLR;output [7:0] F;reg [7:0] F;integer FLAGS=0;integer a=7;integer i=0;always @( posedge CLK ) //CLK上升沿触发if(CLR==1)beginF=8'b00000000; //CLR=1时清零endelse if(FLAGS==0)// 花样一:彩灯从右到左,然后从左到右逐次点亮,全灭全亮beginif(i<17)i=i+1;elsebegini=0;FLAGS=1;endcase(i)1:F=8'b00000001; 2:F=8'b00000010;3:F=8'b00000100; 4:F=8'b00001000;5:F=8'b00010000; 6:F=8'b00100000;7:F=8'b01000000; 8:F=8'b10000000;9:F=8'b01000000; 10:F=8'b00100000;11:F=8'b00010000; 12:F=8'b00001000;13:F=8'b00000100; 14:F=8'b00000010;15:F=8'b00000001; 16:F=8'b00000000;17:F=8'b11111111;endcaseendelse if(FLAGS==1) //花样二:彩灯两边同时亮一个逐次向中间移动再散开beginif(i<7)i=i+1;elsebegini=0;FLAGS=2;endcase(i)1:F=8'b10000001; 2:F=8'b01000010;3:F=8'b00100100; 4:F=8'b00011000;5:F=8'b00100100; 6:F=8'b01000010;7:F=8'b10000001;endcaseendelse if(FLAGS==2) //花样三:彩灯两边同时亮两个逐次向中间移动再散开beginif(i<5)i=i+1;elsebegini=0;endcase(i)1:F=8'b11000011; 2:F=8'b01100110;3:F=8'b00111100; 4:F=8'b01100110;5:F=8'b11000011;endcaseendelse if(FLAGS==3) //花样四:彩灯两边同时亮三个,然后四亮四灭,四灭四亮,最后一灭一亮beginif(i<4)i=i+1;elsebegini=0;FLAGS=4;endcase(i)1:F=8'b11100111; 2:F=8'b11110000;3:F=8'b00001111; 4:F=8'b01010101;endcaseendelse if(FLAGS==4) //花样五; 两亮两灭,两灭两亮beginif(i<2)i=i+1;elsebegini=0;endcase(i)0:F=8'b11001100;1:F=8'b00110011;endcaseendelse if(FLAGS==5) //花样六:彩灯两边同时熄灭一个逐次向中间移动再散开beginif(i<9)i=i+1;elsebegini=0;FLAGS=6;endcase(i)1:F=8'b11111111; 2:F=8'b01111110;3:F=8'b00111100; 4:F=8'b00011000;5:F=8'b00000000; 6:F=8'b00011000;7:F=8'b00111100; 8:F=8'b01111110;9:F=8'b11111111;endcaseendelse if(FLAGS==6) //花样七:彩灯一亮一灭,一灭一亮beginif(a!=0)begincase(i)0:beginF=8'b10101010;i=1;a=a-1;end1:beginF=8'b01010101;i=0;a=a-1;endendcaseendelsebeginFLAGS=0;F=8'b00000000;// 所有花样结束时清零a=7;endendendmodule顶层模块:`timescale 1ms/1msmodule LIGHT_TEST;reg CLK,CLR;wire [7:0] F;parameter STEP = 20; //定义50Hz输入LIGHT LIGHT ( CLR,CLK,F ); //底层模块名,实例名及参数定义always #( STEP*50/2 ) CLK = ~CLK; //设定时钟时间initialbeginCLR = 1; CLK = 0;#(STEP) CLR=0;#( 4000*STEP ) $finish;//设定运行时间80000msendendmodule五.仿真结果六.收获及体会虽然只是短短几天的学习,但这是我对一种陌生语言——硬件描述语言的认知,是一个从无到有的过程,通过这次实践,我掌握了Verilog-HDL语言的一些基础知识,熟悉了一下数字电路的知识,并且学习了ModelSim软件的用法。

EDA技术实验10-彩灯控制器设计与实现

EDA技术实验10-彩灯控制器设计与实现

ENTITY counter_4 IS
PORT
(
clk,rst
: IN
std_logic;
count_out
: OUT integer range 0 to 3 );
std_logic
END xzq4_1; ARCHITECTURE a OF xzq4_1 IS
BEGIN PROCESS (rst,inp) BEGIN
if(rst='1') then output<='0';
else
case inp is
when 0=>output<=in1;
when 1=>output<=in2;
count_out<=temp;
END PROCESS;
17
END a;
-------------------------------------------4进制计数器模块----------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
if clk'event and clk='1'then
if b>=5 then
b:=0;
clk_6<='1';
else
b:=b+1;
clk_6<='0';
end if;
end if;
end if;
end process p2;
p3:process(clk,rst)
variable c:integer range 0 to 20;

数字电路课程设计-四花样自动切换的彩灯控制器

数字电路课程设计-四花样自动切换的彩灯控制器

电子技术课程设计报告设计题目:院(部):专业班级:学生姓名:学号:指导教师:本次课程设计应用了彩灯控制器的原理,主要采用74LS93模十六计数器和555多谐振荡器,实现了四花样自动循环变换。

首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。

可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。

根据四种花样来确定这四种码,而这四种码可通过模十六计数器74LS161产生。

要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。

时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS93和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。

当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。

关键词彩灯、循环、移位寄存器、数据选择器、时钟脉冲、自动转换摘要 (1)关键词 (1)1.绪论 (3)2.设计与要求 (3)2.1内容设计 (3)2.2设计要求 (3)2.3主要元件和材料 (4)3.系统组成及其工作原理 (4)3.1系统组成框图 (4)3.2各模块的组成及功能分析 (4)3.3工作原理分析 (5)4.各部分电路设计 (6)4.1电源电路 (6)4.2四种码产生电路 (7)4.3双彩灯自动转换电路 (8)4.4花样输出电路 (9)4.5总体电路图 (10)5.实验连接和调试 (11)5.1电路的连接和安装 (11)5.2电路的调试 (11)6.结束语与心得体会 (11)参考文献 (13)附录 (14)四花样彩灯控制器1.绪论彩灯控制器有着非常广泛的运用,如:LED彩灯,音乐彩灯控制器,二维彩灯控制器等等。

多花样自动切换彩灯控制器的设计

多花样自动切换彩灯控制器的设计

课程设计任务书学生姓名:专业班级:自动化1003班指导教师:工作单位:自动化学院题目: 多花样自动切换的彩灯控制器设计初始条件:1.运用所学的模拟电路和数字电路等知识;2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。

要求完成的主要任务:1.现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:2.第1种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮, 3.第2种花样为彩灯两边同时亮1个逐次向中间移动再散开;4.2种花样自动变换,循环往复。

时间间隔为1秒。

5.严格按照课程设计说明书要求撰写课程设计说明书。

时间安排:第1天下达课程设计任务书,根据任务书查找资料;第2~4天进行方案论证,软件模拟仿真并确定设计方案;第5天提交电路图,经审查后领取元器件;第6~8天组装电路并调试,检查错误并提出问题;第9~11天结果分析整理,撰写课程设计报告,验收调试结果;第12~14天补充完成课程设计报告和答辩。

指导教师签名: 2012年 6月25日系主任(或责任教师)签名: 2012年 6月25日前言本次课程设计《电工电子综合》课程中,通常只介绍单元电路的设计、集成芯片的特性、功能等,而一个实用的电子系统通常是由多个单元电路组成的。

因此,进行电子系统设计时,不但要考虑系统总体电路的设计,还要考虑系统各部分电路的选择、设计及它们之间的相互连接。

由于各种通用和专用的模拟、数字集成电路的大量涌现,所以在电子系统的方案框图确定后,除少数电子电路的参数需要设计计算外,大部分只需根据电子系统框图各部分要求正确选用模拟和数字集成电路的芯片就可以了电子系统的设计没有固定不变的步骤,它往往与设计者综合应用所学知识的能力、经验等有密切关系。

常用电子系统设计通常包括:选择总体方案框图、单元电路设计与选择、电子元器件的选择、单元电路之间的连接、对电子系统进行电路搭试、对方案及单元电路参数进行修改、绘制总体电路,最后写出设计报告。

多花样循环彩灯控制器设计方案报告

多花样循环彩灯控制器设计方案报告

1. 设计意义及要求1.1 设计意义对《数字电子技术基础》学习,我们掌握一些关于数字电子技术的基础知识和基本技能,具备了在日常生活与学习中应用数字技术解决问题的基本态度与基本能力但是对于各种门电路、元器件芯片的结构及其工作原理等知识,我们的理解依然比较肤浅。

通过为期两周的课程设计,可以让我们对课堂上的知识有更深入的理解,同时做到理论与实际相结合。

此外,课程设计能够最直接有效的训练我们的创新思维,提高分析问题、解决问题的能力。

1.2 设计要求1. 使16 只彩灯从右到左逐一循环点亮2. 使16 只彩灯按照1111 1110 1111 1110 左移循环点亮,即最开始为第8只和第16只彩灯亮,紧接着熄灭,同时第7只和第15只彩灯亮............... 依此循环点亮。

3. 使16 只彩灯交替闪烁。

4. 使中间两个彩灯发亮,然后从两边再增加两个发亮,一直下去,直到16 个彩灯全亮。

5. 接着重复以上动作,这样一直循环下去。

时间间隔为1 秒。

2.方案设计2.1 设计思路首先需要个脉冲源信号刺激,之后对于流水灯的移位功能可以采取寄存器来实现,本实验采用的是74LS198芯片。

总体方案共分为6大块。

第一块实现实现左移循环,第二块实现两两左移循环点亮,第三块实现交替闪烁,第四块实现向外扩散,第五块实现时钟信号的产生,第六块实现计数2.2方案设计221设计方案一电路图计数器时钟信号向外扩散图2-1方案一方框图2.2.2.设计方案二电路图本方案实现的核心是MCU控制电路,以AVRmega16单片机为控制核心,用C语言编程,控制彩灯实现多花样循环功能。

AVR单片机最小系统AVRmega16单片机和复位电路,还有晶振电路(16Mhz)。

AVR单片机具有高性能、低功耗的特点使用AVR的时钟源(晶振、内部RC等)不经过分频直接提供给CPU使用,而51的CPU主频等于晶振的12分频此最小系统实现对系统的控制等功能。

四花样彩灯控制器

四花样彩灯控制器

课程设计说明书课程设计名称:数字电路课程设计课程设计题目:四花样彩灯控制器学院名称:信息工程学院专业:通信工程班级:********* 学号:*********** 姓名:******* 评分:教师:******20 年月日数字电路课程设计任务书20 -20 学年第学期第周-周题目四花样彩灯控制器内容及要求1)彩灯一亮一灭,从左向右移动;2)彩灯两亮两灭,从左向右移动;3)四亮四灭,从左向右移动;4)从1~8从左到右逐次点亮,然后逐次熄灭;5)四种花样自动变换。

进度安排学生姓名:指导时间:指导地点:任务下达****年**月**日任务完成****年**月**日考核方式 1.评阅□√ 2.答辩□ 3.实际操作□√ 4.其它□指导教师**** 系(部)主任****注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要本次课程设计应用了彩灯控制器的原理,主要采用74LS93模十六计数器和555多谐振荡器,实现了四花样自动循环变换。

首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。

可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。

根据四种花样来确定这四种码,而这四种码可通过模十六计数器74LS93产生。

要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。

时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS93和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。

当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计任务书学生姓名:专业班级:自动化1003班指导教师:工作单位:自动化学院题目: 多花样自动切换的彩灯控制器设计初始条件:1.运用所学的模拟电路和数字电路等知识;2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。

要求完成的主要任务:1.现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:2.第1种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮, 3.第2种花样为彩灯两边同时亮1个逐次向中间移动再散开;4.2种花样自动变换,循环往复。

时间间隔为1秒。

5.严格按照课程设计说明书要求撰写课程设计说明书。

时间安排:第1天下达课程设计任务书,根据任务书查找资料;第2~4天进行方案论证,软件模拟仿真并确定设计方案;第5天提交电路图,经审查后领取元器件;第6~8天组装电路并调试,检查错误并提出问题;第9~11天结果分析整理,撰写课程设计报告,验收调试结果;第12~14天补充完成课程设计报告和答辩。

指导教师签名: 2012年 6月25日系主任(或责任教师)签名: 2012年 6月25日前言本次课程设计《电工电子综合》课程中,通常只介绍单元电路的设计、集成芯片的特性、功能等,而一个实用的电子系统通常是由多个单元电路组成的。

因此,进行电子系统设计时,不但要考虑系统总体电路的设计,还要考虑系统各部分电路的选择、设计及它们之间的相互连接。

由于各种通用和专用的模拟、数字集成电路的大量涌现,所以在电子系统的方案框图确定后,除少数电子电路的参数需要设计计算外,大部分只需根据电子系统框图各部分要求正确选用模拟和数字集成电路的芯片就可以了电子系统的设计没有固定不变的步骤,它往往与设计者综合应用所学知识的能力、经验等有密切关系。

常用电子系统设计通常包括:选择总体方案框图、单元电路设计与选择、电子元器件的选择、单元电路之间的连接、对电子系统进行电路搭试、对方案及单元电路参数进行修改、绘制总体电路,最后写出设计报告。

一个较为复杂的设计课题,通常需要对设计要求进行认真分析和研究,通过收集和查阅资料,在已学模拟和数字电子技术课程(电子技术)理论的基础上进行构思,从而提出实现设计要求的可能方案,并画出相应的框图。

由于实现同一个设计要求的方案往往不止一个,这时就应对每一个设计方案的可行性及它们的主要优缺点进行比较,从而找出一个较为合理的设计方案。

对于关键部分电路的可行性首先应在原理上要可行,而后还需进行电路搭试,只有搭试成功后才能确定电路的总体方案框图。

电子课程设计是对以前学科知识的综合,检验学生掌握电子学科的理论知识的程度,也是学科教学中的一个十分重要的环节。

通过把理论与实践相结合,提高理论水平,锻炼实践动手能力。

同时,对于学生对电子学科的学习兴趣也是有极大的激发作用,让同学在自己动手制作的过程中找到乐趣,加深对学科知识的理解及消化,为以后的学习打下良好的基础。

在当今的社会里,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的生活。

四花样彩灯控制器主要是通过电路产生有规律变化的脉冲信号来实现彩灯的各种变化。

它的主要器件是寄存器。

现如今寄存器是数字系统常见的重要部件,除在计算机中广泛用于存放中间数据外,它在其他方面的应用,目前在教材中只介绍可构成环形或扭环形计数器。

本次实验由于触发器具有记忆的功能,笔者将移位寄存器设计成彩灯控制电路。

由于电路本身实用,如果再通过计算机仿真,笔者可以直观地看到循环彩灯控制效果。

如果稍微改动控制电路,就可以改变电路的不同工作状态,控制彩灯变幻出不同的闪烁效果。

控制电路是由笔者学习过的单元电路组合而成的,主要由555振荡电路产生一矩形脉冲,然后由74LS112来控制各电路输入信号通断,74LS138和74LS194组成各种花式.进而控制彩灯亮灭的顺序,从而出现彩灯花样自动切换。

通过这一综合训练培养了学生严肃认真的工作态度和科学作风,为今后从事电路设计和研制电子产品打下初步基础,检验学生的理论实践的能力,动手能力,分析和处理问题的能力,自学能力。

通过本次课设提高了大家的动手和动脑能力,增强了大家对电子方面的学习兴趣及自学能力,本次课程设计使大家学到了很多,知道了自己在哪一方面的不足,为今后的学习提供了方向,使大家有了个质的提高。

1.设计意义及要求1.1设计意义对《数字电子技术基础》学习,我们掌握一些关于数字电子技术的基础知识和基本技能,具备了在日常生活与学习中应用数字技术解决问题的基本态度与基本能力但是对于各种门电路,元器件芯片的结构及其工作原理等知识,我们的理解依然比较肤浅。

通过对电工电子课程设计,使我们对课堂知识有更深入理解,同时联系理论与实践,加强动手能力与分析能力,还能锻炼我们的创新思维和团队合作能力。

1.2设计要求1) 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:2) 第1种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮,3) 第2种花样为彩灯两边同时亮1个逐次向中间移动再散开;4) 2种花样自动变换,循环往复。

时间间隔为1秒。

2.方案设计 2.1方案一2.1.1设计思路首先需要一个1Hz 的矩形脉冲发生器,其次可以联合使用74LS194和74LS138来实现流水灯的追逐,74LS112和逻辑门来作为各花式电路的开关,各分花式电路按序连接后实现循环2.1.2设计方案方案一方框图图2.1方案一电路总图图2.22.2方案二2.2.1设计思路使用单片机即可用简洁电路和少量原件实现要求功能的电路2.2.2设计方案图2.32.3方案比较方案一是采用数电模块,使用元件多,连线复杂,但功能明确,容易看懂方案二采用单片机,电路简单,但编程麻烦这次设计主要考查对数电知识的应用,所以采用方案一3.部分电路设计3.1部分元件管脚图74LS194图3.1.1图3.1.2H—高电平L-低电平X-任意电平↑-低到高电平跳变a-d——A-D端的稳态输入电平Qa0-Qd0——规定的稳态条件建立前Qa-Qd的电平Qan-Qdn——时钟最近的↑前Qa-Qd的电平图3.1.374LS138为3线-8线译码器,当以个选送端(G1)为高电平,另两个选通端G2A 和G2B 为低电平时,可将地址端(A ,B ,C )的二进制编码在一个对应的输出端以低电平译出如图3.4图3.1.474LS138图3.1.5图3.1.63.2时钟电路设计图3.2555 定时器的功能主要由两个比较器决定。

两个比较器的输出电压控制 RS 触发器和放电管的状态。

在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC /3。

若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。

如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。

根据555定时器工作原理,振荡周期: T=0.7(R1+2R2)C ,取C1=47nF,C2=10uF,R1=R2=48kΩ,如图连接,便可产生周期为1s,高低电位所占比例均分的矩形脉冲3.3左移花式电路设计图3.3利用JK触发器的置反功能实现对该部分电路的开闭,利用74LS192实现对74LS138 芯片计数,而74LS138与输出电路相连,最终实现彩灯从右往左的追逐花式,计数完成后,74LS192输出端由0111变为1000,Q2发出下降沿脉冲,锁闭74LS112,同时激活下级电路的JK触发器使其工作3.4右移花式电路设计图3.4电路原理同左移花式电路相类似,只是74LS192及74LS138初始处于未正常工作状态即初电位与左移电路中的同类芯片相反,在得到左移电路的启动信号后,芯片进入工作状态,产生花式电路信号,74LS138与输出显示电路相连,同时计数完成后,发出信号锁闭此电路同时激活下级电路3.5全亮全灭及两侧接近花式电路设计同前述电路利用JK触发器的锁闭功能,74LS192的计数功能和74LS138的译码功能,将两个此类电路相连接并合理利用74LS138的输出端,便可产生所需时间间隔的花式电路初始时电路各芯片与右移电路芯片初始状态相同处于未工作状态,在接收到激活信号后,状态改变电路开始工作。

其中,U29的Y1所在即为全灭信号,不与任何相连,使其影响不了电路;Y2输出全亮信号,输出与所有灯泡相关联。

该级电路完成运转后发出信号,锁闭本级电路激活左移花式电路,实现自动循环。

3.6显示电路设计图3.6由于整个电路是采用分电路相互衔接的方式来实现所需花式,各花式输出端分别连接至显示电路,所以需要逻辑门来整合及防止反向电流影响JK触发器的开闭。

因为使用74LS138发出输出信号,其在吴信号传输状态下输出为1,故而使用与门和非门相组合,与门可能接收到的信号只有11,10两种,当输入为11时,此时该处未收到亮灯信号,经非门处理后灯泡处为低电压;当输入为10时,经逻辑门处理后灯泡处获得高电压,从而灯亮,达到所需情况的灯泡亮灭4.调试与检测4.1调试中故障及解决方法在左移电路中,运行电路后发现最右边的灯亮光时间极短,因为一旦电路运行后,74LS194立即计数到1导致74LS138的Y0产生信号时间极短,所以舍弃Y0直接从Y1开始接入电路,而运行一周后,Y0便可产生正常时长的信号,空置Y0可以实现作为两次循环相分隔的灯全灭状态。

由于上述原因,导致分电路中的周期为奇数。

此时可以选择舍弃更多输出端以谋求产生常用周期,也可以采用引入逻辑门的方法来获得奇数周期。

我在此选用的是第二种方法。

图4.1在Y6出连出一个非门回连到JK触发器,当计数达到Y6时此时值为7,同非门连接后Y6能发出一个下降沿脉冲至触发器,使其值反置后实现锁闭4.2调试与运行结果调试完成后,运行仿真。

灯泡运行方式为从右往左,追逐闪亮,完成第一花式;而后又从左往右追逐闪亮,展示第二花式;经过1个周期时长的全灭后再全亮;接着两边同时亮,移动到中间后又移回两边,这步时长7s;此后,经1s灯泡全灭后,循环运行第一花式。

5仿真操作步骤及使用说明打开文件,运行仿真,D1-D8为LED灯显示运行花式,8个等按下列顺序运行,其中,1为亮,0为灭。

花样一000000000000000100000010000001000000100000010000001000000100000010000000花样二01000000001000000001000000001000000001000000001000000001花样三0000000011111111花样四10000001010000100010010000011000001001000100001010000001再循环至花样一结束语通过这次课程设计,加深了对课本知识的理解,也改进了自己动手能力方面的不足,明确了今后学习的方向和重点。

相关文档
最新文档