8路LED彩灯控制电路设计报告
LED流水灯《八路彩灯控制电路》
《八路彩灯控制电路》课程设计报告《八路彩灯控制电路》课程设计报告专业:电子信息工程班级:2010级2班姓名:X X学号:XXXXXXXX同组成员:XX、XX指导教师:XXX2011年12月28日八路彩灯控制电路目录一、课程设计目的............................... - 2 -二、课程设计描述和要求.......................... - 2 -(一) 彩灯控制器设计要求....................... - 2 -(二) 课程设计的总体要求....................... - 2 -三、课程设计内容............................... - 3 - (一)原理分析.............................. - 3 -(二) 器件选择 ............................... - 5 - (三)电路连线.............................. - 6 -1.时钟信号电路.............................. - 6 -2. 花型控制信号电路.......................... - 6 -3.花型演示电路.............................. - 7 -4.总体电路图................................ - 9 -5.电路测试 ................................. - 9 -四、分析与总结................................. - 11 -八路彩灯控制电路一、课程设计目的(一)进一步掌握数字电路课程所学的理论知识。
(二)了解数字电路设计的基本思想和方法,学会科学分析和解决问题。
(三)熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
八路循环彩灯控制电路报告
电子技能训练与EDA技术应用项目名称:八路循环彩灯控制电路指导老师:吴小花姓名:王晓鸿学号:080212115班级:08电子1班目录一、设计要求--------------------------------------------------------------------------------二、设计方案--------------------------------------------------------------------------------三、单元电路设计参考---------------------------------------------------------------------四、电路元件参数计算---------------------------------------------------------------------五、计数器的选用----------------------------------------------------------------------------六、译码器的选用----------------------------------------------------------------------------七、彩灯的选用-------------------------------------------------------------------------------八.设计原理电路----------------------------------------------------------------------------九.改进设计----------------------------------------------------------------------------------一、 设计要求设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。
8路彩灯控制电路设计
《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:指导教师:2014年 6 月25 日目录1.课程设计的目的 (1)2.课程设计题目描述和要求 (1)3.电路设计 (1)4.设计过程中遇到的问题及解决办法 (7)5.结论与体会 (8)附表参考书目 (9)1. 课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.掌握数字电路课程学习的常见芯片的功能,熟悉其工作原理;3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。
2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3.1闪烁花型设计花型Ⅰ:8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。
花型Ⅱ:8路彩灯由中间到两边对称的依次点亮,全亮后仍由中间到两边对称熄灭。
花型Ⅲ:8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。
花型Ⅳ:8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。
花型状态编码表如表3.1.1所示。
每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。
表3.1.1 8路彩灯输出状态编码表3.2设计原理3.2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3.2.1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。
图3.2.1 彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭;控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。
3.2.2器件的选择74LS194N·························································2片74LS161N·························································2片74LS04D ·························································6片74LS76D ·························································1片时钟电压源·······················································1个VCC(5V)·························································3个3.3设计方案(1)编码器的设计。
8路彩灯控制电路设计
《8路彩灯控制电路设计》祥设计报告专业:_______________班级:_______________姓名: ________________学号:_______________指导教师:____________2014年6月25日1 •课程设计的目的2. 课程设计题目描述和要求3. 电路设计4. 设计过程中遇到的问题及解决办法5. 结论与体会附表参考书目1 •课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理:3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。
2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1. 接通电源,电路开始工作,LED灯闪烁:2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3. 1闪烁花型设计花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。
花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。
花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。
花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。
花型状态编码表如表3. 1. 1所示。
每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。
表3. 1. 1 8路彩灯输出状态编码表3. 2设计原理3. 2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3. 2. 1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。
图3. 2. 1彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭: 控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。
数电课程设计报告-八路彩灯循环电路
摘要数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。
例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。
随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。
随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。
每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。
然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。
因此,我们有必要去研究彩灯的工作原理。
数字电子技术课程设计也是培养学生综合运用学知识,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。
关键词:彩灯控制器、循环控制、电子电路仿真目录第1章设计方案 (5)1.1 设计目的 (5)1.2 设计要求 (5)1.3 总体框图设计 (5)第2章单元电路的设计 (6)2.1 定时器电路 (6)2.2 计数器电路 (8)2.3 译码器电路 (10)2.4 总电路设计 (12)第3章仿真 (13)3.1 Multisim软件的介绍 (13)3.2 EWB软件的介绍 (13)3.4 仿真步骤 (13)3.3 仿真结果 (14)第4章总结 (15)参考文献 (16)附录一 (17)附录二 (18)第1章设计方案1.1 设计目的1.学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。
2.掌握计数、译码、显示综合电路的设计与调试方法。
3.掌握实际输出电路不同要求的实现方法。
1.2 设计要求1.八路彩灯输出显示。
2.彩灯的闪烁按一定规则变化,可通过输出开关设置彩灯闪烁的规律。
3.电路有复位控制,复位按钮闭合时彩灯循环输出,复位按钮断开时彩灯熄灭。
#LED流水灯《八路彩灯控制电路》
《八路彩灯控制电路》课程设计报告专业:电子信息项目班级:2018级2班姓名:XX学号:XXXXXXXX同组成员:XX、XX指导教师:XXX2018年12月28日八路彩灯控制电路目录一、课程设计目的- 2 -二、课程设计描述和要求- 2 -(一>彩灯控制器设计要求- 2 -(二>课程设计的总体要求- 2 -三、课程设计内容- 3 -<一)原理分析- 3 -(二>器件选择- 5 -<三)电路连线- 6 -1.时钟信号电路- 6 -2. 花型控制信号电路- 6 -3.花型演示电路- 7 -4.总体电路图- 9 -5.电路测试- 9 -四、分析与总结- 11 -八路彩灯控制电路一、课程设计目的(一)进一步掌握数字电路课程所学的理论知识。
(二)了解数字电路设计的基本思想和方法,学会科学分析和解决问题。
(三)熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
(四)培养认真严谨的工作作风和实事求是的工作态度。
二、课程设计描述和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(一)彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.<选做内容)闪烁时实现快慢两种节拍的变换。
(二)课程设计的总体要求根据设计任务,每人独立完成一份设计电路图,并要求仿真实.1现;根据设计的电路图,两人一组,利用万能板完成电路的焊接,并.2调试成功;每人独立完成一份设计报告。
3.三、课程设计内容<一)原理分析1. 针对题目设计要求,经过分析与思考,决定以下方案:总体电路共分三大块。
8路移存型彩灯控制器数字逻辑课程设计报告
目录摘要 (2)1 绪论 (3)2 总体方案设计 (4)3 单元模块设计 (5)3.1 脉冲信号产生模块 (5)3.2 计数电路模块 (7)3.3 花型控制电路模块 (8)4 系统综述,总体电路图 (10)5 分析总结,体会 (12)参考文献 (14)鸣谢 (14)附录:元器件及工具清单的引脚图和功能表 (15)摘要通过设计多路彩灯系统来加深对主要器件的理解与应用。
本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。
通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。
进一步掌握数字电路课程所学的理论知识。
熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计,了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
培养认真严谨的工作作风和实事求是的工作态度。
作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。
关键词:脉冲信号;分频电路;计数电路;花环电路;彩灯;技术摘要:1:8路移存型彩灯控制器2:要求彩灯组成两种以上的花型。
3:每种花型连续循环两次,各种花型轮流交替、第一章绪论随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。
不论是思想还是视觉,人们都在追求更高的美。
特别是在视觉方面,人们已经不满足于一种光,彩灯的诞生让人们的视觉对美有了更深的认识。
LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑已经成为一种时尚,我们的城市也因为这些彩灯倍显靓丽与青春,人们也倍感生活的快节奏,繁华的生活也在鞭策着人们向前努力奋进、创造更多的财富。
因为彩灯体积小,外观精致,非常适合于节日、婚庆背景布置、歌厅、音乐会、舞台、舞厅宾馆、酒楼、商厦、橱窗、店铺、家具等各种场所,彩灯得到了广泛的应用。
八路彩灯实验报告
八路彩灯控制系统实验报告姓名:学院:电子工程学院学号:同作人:一实验目的1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。
2.熟悉并掌握用移位寄存器设计彩灯控制器的方法。
二设计任务与要求1.彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。
2. 彩灯演示花型为三种(花型自拟)。
3. 彩灯用发光二极管模拟。
要求:即能控制8路LED以两种节拍、三种花型连续循环演示。
三参考器件给定器件为四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干四实验要求按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察彩灯花型是否正确,如果不正确,排除故障直至正确为止。
最后一步是撰写实验报告、整理文档,对实验进行总结。
五设计说明彩灯控制器原理框图如图5-45所示。
图中,虚线以上为处理器,虚线以下是控制器。
从图5-45可以看出,编码发生器的功能是:根据花型要求按节拍送出8位状态编码信号,以便控制灯的亮灭。
其电路可以选用四位双向移位寄存器来实现。
八路灯用两片移位寄存器级联就可以实现。
缓冲驱动电路的功能是:提供彩灯所需要的工作电压和电流,隔离负载对编码发生器工作的影响。
彩灯控制器对定时器的要求不高,振荡器可采用环形振荡器或555定时器来实现。
控制电路为编码发生器提供所需要的节拍脉冲和控制信号,以同步整个系统工作。
最终效果1. 从左至右顺次渐亮,全亮后逆序渐灭;2. 中间到两边对称地逐渐渐亮,全亮后,再由中间向两边逐一熄灭;3. 八路灯分两半,从左至右顺次渐亮,全亮后全灭。
原理框图VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng isport(clk:in std_logic;en:in std_logic;clr:in std_logic;l:out std_logic_vector(7 downto 0)--control signal of the balucaideng );end balucaideng;architecture one of balucaideng issignal count_q:integer range 0 to 86;begincounter:process(clk,clr)beginif(clr='0')thencount_q<=0;elsif(clk'event and clk='1')thenif(en='1')thenif(count_q<28)thencount_q<=count_q+1;elsecount_q<=0;end if;end if;end if;end process counter;table:process(count_q)begincase count_q iswhen 0=>l<="10000000";when 1=>l<="11000000";when 2=>l<="11100000";when 3=>l<="11110000";when 4=>l<="11111000";when 5=>l<="11111100";when 6=>l<="11111110";when 7=>l<="11111111";when 8=>l<="11111110";when 9=>l<="11111100";when 10=>l<="11111000";when 11=>l<="11110000";when 12=>l<="11100000";when 13=>l<="11000000";when 14=>l<="10000000";when 15=>l<="00000000";when 16=>l<="00011000";when 17=>l<="00111100";when 18=>l<="01111110";when 19=>l<="11111111";when 20=>l<="00011000";when 21=>l<="11000011";when 22=>l<="10000001";when 24=>l<="10001000"; when 25=>l<="11001100"; when 26=>l<="11101110"; when 27=>l<="11111111"; when 28=>l<="00000000"; --0.25swhen 29=>l<="10000000"; when 30=>l<="10000000"; when 31=>l<="11000000"; when 32=>l<="11000000"; when 33=>l<="11100000"; when 34=>l<="11100000"; when 35=>l<="11110000"; when 36=>l<="11110000"; when 37=>l<="11111000"; when 38=>l<="11111000"; when 39=>l<="11111100"; when 40=>l<="11111100"; when 41=>l<="11111110"; when 42=>l<="11111110"; when 43=>l<="11111111"; when 44=>l<="11111111"; when 45=>l<="11111110"; when 46=>l<="11111110"; when 47=>l<="11111100"; when 48=>l<="11111100"; when 49=>l<="11111000"; when 50=>l<="11111000"; when 51=>l<="11110000"; when 52=>l<="11110000"; when 53=>l<="11100000"; when 54=>l<="11100000"; when 55=>l<="11000000"; when 56=>l<="11000000"; when 57=>l<="10000000"; when 58=>l<="10000000"; when 59=>l<="00000000"; when 60=>l<="00000000"; when 61=>l<="00011000"; when 62=>l<="00011000"; when 63=>l<="00111100"; when 64=>l<="00111100"; when 65=>l<="01111110";when 67=>l<="11111111";when 68=>l<="11111111";when 69=>l<="00011000";when 70=>l<="00011000";when 71=>l<="11000011";when 72=>l<="11000011";when 73=>l<="10000001";when 74=>l<="10000001";when 75=>l<="00000000";when 76=>l<="00000000";when 77=>l<="10001000";when 78=>l<="10001000";when 79=>l<="11001100";when 80=>l<="11001100";when 81=>l<="11101110";when 82=>l<="11101110";when 83=>l<="11111111";when 84=>l<="11111111";when 85=>l<="00000000";when 86=>l<="00000000";--0.5swhen others =>l<="11111111";end case;end process table;end one;仿真波形花型一花型二花型三。
多路彩灯控制器8路移存型彩灯控制器数字电路课程设计实验报告
多路彩灯控制器的设计一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。
二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1. 彩灯实现快慢两种节拍的变换;2. 8路彩灯能演示三种花型(花型自拟);3. 彩灯用发光二极管LED模拟;4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。
(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3. 注意布线,要直角连接,选最短路径,不要相互交叉;4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。
第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。
主体框图如下:方案二:在方案一的基础上将整体电路分为四块。
第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。
并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。
主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。
这样设计,其优点在于:设计思想比较简单。
8路彩灯控制器设计详细讲解(附图)
8路彩灯控制器设计详细讲解(附图)学校:北华大学班级:信息 11-2姓名:王杰学号:28号指导教师:曲萍萍实验日期:2013.5.20—5.24一、软件内容二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得六、参考文献一、软件内容MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。
它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。
MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。
MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。
二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。
2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3、了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4、培养认真严谨的工作作风和实事求是的工作态度。
5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。
具体要求如下:1、8路彩灯的循环花型如下表所示;2、节拍变化的时间为0.5s和0.25s,两种节拍交替运行;3、三种花型要求自动循环显示移存器输出状态编码表节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 1111100013 1111000014 1110000015 1100000016 10000000三、设计原理过程(1)总体方案的设计; 在方案一的基础上将整体电路分为四块。
8路流水彩灯实验报告报告
重庆交通大学计算机与信息学院数电设计实验报告实验项目名称:8路彩灯能演示三种花型实验项目性质:设计性实验实验所属课程:数字电子技术基础实验室(中心):软件与通信实验中心班级:学生:学号:指导教师:实验完成时间:年月日教师评阅意见:签名:年月日实验成绩:一、设计题目:八路彩灯演示三种花型二、同组成员:许梦婷三、设计思路及方案设计:(一)、设计要求:1、八路彩灯能演示三种花型(花型自拟);2、要求用PROTEL画出原理图和PCB板,并能够用软件实现仿真(如multisim等);3、彩灯用发光二极管LED模拟,最后用万能板焊接成产品(选作:彩灯实现快慢两种节拍的变换)。
(二)、设计思路:提供的元件有74LS160---十进制计数器,74LS194---双向移位寄存器,555定时器,非门和与非门等。
根据所提供的元件,首先设计出自己的彩灯花型(按如下原理图中发光二极管LED的放置顺序为准说明):第一种花型:二极管4-1号依次发光,8-5号依次发光的同时4-1号又依次熄灭,之后4-1号又依次发光,此时1-8号都处于发光状态,最后从中间的1号和5号开始依次向两边熄灭,即1号向4号逐熄,5号向8号逐熄,花型完成;第二种花型:二极管1-4号依次发光,5-8号依次发光的同时1-4号又依次熄灭,之后1-4号又依次发光,此时1-8号都处于发光状态,最后从两边的4号和8号开始依次向中间熄灭,即4号向1号逐熄,8号向5号逐熄,花型完成;第三种花型:二极管1-4号依次发光,5-8号保持熄灭状态不变,1-4号又依次熄灭,花型完成。
脉冲计数00010000至00011001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,二极管5-8号不发光,74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得二极管4-1号依次发光;脉冲计数00100000至00101001过程中,74LS194(1)中SL=SR=1,S0=1,即工作在左移1状态,二极管8-5号依次发光;74LS194(2)中SL=SR=0,S0=0,即工作在右移0状态,使得二极管4-1号依次熄灭;脉冲计数00110000至00111001过程中,74LS194(1)中的SL=SR=1,S0=1,即工作在左移1状态,使得二极管4-1号依次发光;74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得5-8号保持发光不变;脉冲计数01000000至01001001过程中,74LS194(1)中SL=SR=0,S0=0,即工作在右移0状态,使得5-8号依次熄灭;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得1-4号依次熄灭,完成第一种花型;脉冲计数01010000至01011001过程中,74LS194(1)中SL=SR=0,S0=0,即工作在右移0状态,二极管8-5号不发光,74LS194(2)中SL=SR=1,S0=1,即工作在左移1状态,使得二极管1-4号依次发光;脉冲计数01100000至01101001过程中,74LS194(1)中SL=SR=1,S0=0,即工作在右移1状态,二极管5-8号依次发光;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得二极管1-4号依次熄灭;脉冲计数01110000至01111001过程中,74LS194(1)中的SL=SR=1,S0=0,即工作在右移1状态,使得二极管8-5号保持发光;74LS194(2)中SL=SR=1,S0=1,即工作在左移1状态,使得1-4号依次发光;脉冲计数10000000至10001001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得8-5号依次熄灭;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得4-1号依次熄灭,完成第二种花型;脉冲计数10010000至10011001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得5-8保持熄灭状态;74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得4-1依次发光;脉冲计数00000000至00001001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得5-8保持熄灭状态;74LS194(2)中SL=SR=0,S0=0,即工作在右移0状态,使得4-1依次熄灭,完成第三种花型。
8路彩灯控制电路设计
附件:
附表 3.1.2 74LS194N 的特性表
3.3 设计方案
(1)编码器的设计。分析表 3.1.1,实现花型Ⅰ时前四位 Q0 Q1 Q2 Q3 为左移 环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为左移环形计数器的规律;实现花型Ⅱ 时前四位 Q0 Q1 Q2 Q3 为左移环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为右移环形 计数器的规律;实现花型Ⅲ时前四位 Q0 Q1 Q2 Q3 为右移环形计数器的规律,后 四位 Q4 Q5 Q6 Q7 为右移环形计数器的规律;实现花型Ⅳ时前四位 Q0 Q1 Q2 Q3 为 右移环形计数器的规律,后四位 Q4 Q5 Q6 Q7 为左移环形计数器的规律。
9 页脚内容
片的 S1 与它们是异或的逻辑关系。这样在电路中加上了一个 D 触发器和一个异 或门就可解决上述 问题。
然后遇到的就是接到电路中的触发器没有实现预想的功能,通过示波器观察 发现经由触发器输出的波形并没有变化。第一次加入触发器时并没有直接使用 D 触发器而是使用的是 JK 触发器 J、K 端悬空实现 D 触发器的计数功能。但是经过 实际连接发现了 J、K 端悬空时并没有实现 D 触发器的功能。然后经过多次摸索 发现书中说的悬空是指什么都不接那样就表示接高电平,而在这个软件中悬空的 话是要直接接一个高电平的,不然实现不了预想的功能。经过不断的改进本设计 最终选用了一个 D 触发器,实现预想的功能。
在设计时不断地考虑芯片各个端口的功能,产生的进位,输出的信号,以及 现有的逻辑关系与所需的逻辑关系。综合考虑设计电路,虽然有的问题可能思考 很久依旧没有结果,这时查找资料询问同学则是很好的选择。
看着自己在纸上画的波形图,写的逻辑表达式以及仿真的结果顿时有了很大 的成就感,三天的时间很短设计时间很仓促,答辩完成后再看看自己的设计图发 现还是有很大的修改空间。更换不同的端口界线就实现了其他不同的花型变换, 其中的门电路可以使用其他的一个或两个芯片来代替,这样整个电路就会显得更 加清晰明了。
八路循环彩灯控制电路设计
课程设计报告书试验大致思路如下:3.器件管脚分配图:图1(4017管脚分配图)CD4017是十进制计数器,它包含译码器。
计数器在时钟禁止输入为低电平时,在时钟脉冲上升沿进位。
在时钟禁止输入为高电平时,时钟被禁止。
复位输入为高电平时,时钟输入独立运行。
该芯片是一个十进制分配器,只要在其脉冲信号输入端接入脉冲信号,每来一个脉冲信号时,该芯片就会从Q0~~Q9~~Q0循环发出高电平,并且能够保持这个脉冲信号没有结束时,一直是高电平。
由此可知,该芯片能够运用于控制端或者是用于循环彩灯等等方面的应用。
引出端功能符号CO:进位脉冲输渊CP:时钟输入端CR:清除端INH:禁止端Q0-Q9 计数脉冲输出端VDD:正电源VSS:地真值表输入输出CP INH CR Q0-Q9 CO× × H Q0↑L LH ↓L计数计数脉冲为Q0-Q4时:CO=HL × L× H L↓× L×↑L保持计数脉冲为Q5-Q9时:CO=L图2(4069管脚分配图)CD4069又称为六反向器,广泛运用于各种电路设计中。
当Vcc=5~10V时,C110uFU1A 4069BCL_5V U2B 4069BCL_5V R210kΩR1200kΩ1234图4图4为电路中的一部分,是用来产生时钟脉冲的多谐振荡器,它仿真图如下图5整个电路的仿真图如下;。
8路彩灯控制器课程设计设计报告
EDA课程设计报告课题名称:8路彩灯控制器课程设计专业年级:电子信息科学与技术2009级学号: ********学生姓名: ********指导教师:*********报告完成日期2011 年 6 月10日第一章绪论1.1 系统背景EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
伴随着计算机、集成电路和电子设计技术的发展,EDA技术在过去的几十年里取得了巨大的进步。
EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件便可完成对系统硬件功能的实现。
如今,EDA软件工具已经成为电子信息类产品的支柱产业。
从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。
可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。
相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点。
因此设计者可以不必了解硬件结构。
从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。
8路彩灯控制电路设计
页眉内容《8路彩灯控制电路设计》课程设计报告2015年1月8日目录1.课程设计目的 (1)2.课程设计题目描述和要求 (1)8.附件 (8)1.课程设计目的1.1进一步掌握数字电路课程所学的理论知识并应用于实际中。
1.2了解数字电路设计的基本思想和方法。
1.3掌握电路板的焊接技术,锻炼实际动手操作能力。
2.课程设计题目描述和要求2.集成双向移位寄存器74LS194的逻辑符号与功能表4.1 时钟信号电路将555定时器的TH和TR两个输入端连在一起作为信号输入端,即得到施密特触发器。
然后将555定时器的DC端经过RC积分电路接回输入端就构成多谐振荡器。
电路的震荡周期为T=(R1+2R2)Cln2.仿真电路周期为T=(47000+2*47000)*4.7*0.00001*ln2=4.5935.仿真电路图中时钟信号电路如下:向移位寄存器74LS194的MR处于高电平,S0,S1的状态决定它的工作状态,其4个信号输出端Q0-Q3分别连接D1-D4、D5-D8八个发光二极管,输出信号可以控制发光二极管的亮灭,从而实现花型变换。
第一次花型变换:第二个74LS161的Q2、Q3输出端处在零状态时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。
然后74LS161触发8次清零一次,第一次花型变换完成。
第二次花型变换:74LS161的Q2=1、Q3=0时,第一个74LS194的S0=0、S1=1,处在左移的工作状态中,红灯依次由D4亮到D1;同时第二个74LS194的S0=0,S1=1,处在左移的工作状态中,红灯依次由D8亮到D5。
然后清零,第二次花型变换完成。
第三次花型变换:74LS161的Q2=0、Q3=1时,第一个74LS194的S0=1、S1=0,处在右移的工作状态中,红灯依次由D1亮到D4;同时第二个74LS194的S0=1,5.2 电路仿真结果分析该电路图在proteus软件中仿真成功,可以实行四种花型变换:八个红灯从D1和D8开始同时对称的向中间依次点亮,然后全部熄灭;八个红灯从D4和D8开始同时向上面依次点亮,然后全部熄灭;八个红灯从D1和D5开始同时向下面依次点亮,然后全部熄灭;八个红灯从D4和D5开始同时对称的向两边点亮,然后熄灭。
彩灯控制电路设计报告
彩灯控制电路设计报告一、工作原理1、电路功能彩灯控制电路具有以下功能:可控制8路彩灯或彩灯串,既可以向左(逆时针)移动,也可以向右(顺时针)移动,还可以左右交替移动;彩灯控制起始状态可以预置,移动速度和左右交替周期可调节,并且可以设置彩灯串的彩灯个数。
2、电路组成本电路由2块四位双向移位寄存器CD40194级连组成1个八位双向移位寄存器,由VT3和VT4组成的多谐振荡电路产生时针脉冲,由VT1和VT2及或门CD4071,开关K、开关SB等组成八位双向移位寄存器的功能控制电路,S1和S2是彩灯初始状态、彩灯串的彩灯个数预置开关。
图1所示为总体方框图。
其工作原理是:接通电源后,多谐振荡电路产生时针脉冲,操作人员通过开关S1和S2设置彩灯初始状态、彩灯串的彩灯个数,然后操作人员控制双向移位寄存器的功能控制开关SB、 K控制八位双向移位寄存器的工作方式,使彩灯向左(逆时针)移动或向右(顺时针)移动或左右交替移动,产生美丽的循环彩灯效果。
调节电位器即可循环彩灯移动速度、左右交替周期。
图1 设计框图3、各单元电路工作原理3.1 八位双向移位寄存器电路采用2块CD40194级连组成1个八位双向移位寄存器。
IC2的输出端O3接到IC1的DSR (右移输入)端,IC1的输出端O3接到IC2的DSR(右移输入)端,扩展成首尾相接的八位右移寄存器。
同理,IC1的输出端O0接到IC2的DSL(左移输入)端,IC2的输出端O0接到IC1的DSL(左移输入)端,扩展成1个八位左移寄存器。
两个CP端连在一起,受时针脉冲的控制,两个S0端连在一起,两个S1端连在一起,由S0S1的电平控制移位寄存器的工作方式。
八个输入端分别接到拨码开关上,由拨码开关预置输入端状态,作为彩灯起始状态和彩灯串的彩灯个数的预置,八个输出端分别接八路彩灯。
3.2、时针脉冲发生电路该电路是一个由三极管VT3和VT4等组成的多谐振荡电路。
功能是为CD40194提供必须的时针脉冲。
8路LED彩灯控制电路 设计报告
《8路LED彩灯控制》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:2011年 1 月 8 日目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (3)3.1 器件选择 (3)3.2 实验电路图 (3)3.3 原理分析 (4)3.3.1 脉冲源产生模块 (4)3.3.2 定时器模块 (4)3.3.3 寄存器模块 (4)3.4 具体思路和设计过程 (4)3.4.1 设计思路 (4)3.4.2 具体设计过程 (5)四、焊接与调试 (6)五、总结体会 (6)一、课程设计目的随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。
本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。
而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。
二、课程设计题目描述和要求本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。
三.课程设计报告内容3.1 器件选择555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门74ls20六个、导线若干。
3.2 实验电路图3.3 原理分析3.3.1 脉冲源产生模块实际上是由555定时器和电容、电阻构成的多谐振荡器,它们负责为后面的各个芯片提供时时脉冲。
脉冲周期T=(R1+R2)Cln2=0.33s3.3.2 定时器模块两块十六进制74ls191计数器接成48进制计数器,上面一块芯片的Q0、Q2、Q3端为后面的移位寄存器提供变换的穿行输入信号;下面一块芯片和中间的非门、与非门共同构成数据处理中端,负责对上方各输出端的信号脉冲进行处理,并且传至寄存器。
八路彩灯课程设计报告
《8路彩灯控制电路》课程设计报告专业:电子信息工程班级:2010级2班*名:**学号:********同组成员:吴忧、范伟指导教师:***2011年12月28 日目录1、课程设计目的 (3)2、课程设计内容及要求 (3)3、课程设计报告内容 (4)3.1器件选择 (4)3.2原理分析 (4)3.3 电路连线 (5)3.4 实作方案及结果 (7)4、实验体会 (7)1.课程设计目的:1.锻炼同学们的动手能力和实验设计能力.2.熟悉几种常用的集成芯片,掌握其工作原理,进一步巩固课堂所学知识.3.把理论与实践有效的结合起来,学会科学分析和解决问题。
4.深刻理解各种电路设计和专业内容,培养对电路的学习兴趣。
2.课程设计题目描述和要求设计要求:设计一个控制电路,控制8个LED灯模拟彩灯显示不同花型(要求设计三种不同的花型)。
(1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功;(3)每人独立完成一份设计报告。
可选用的元件:(1)74LS160;(2)74LS194;(3)555芯片;(3)非门、与非门;(4)发光二极管;(5)电阻;(6)电容;3.课程设计报告内容(原理分析、器件选择、电路连线、实作方案和结果、写出设计与制作的全过程,附上有关资料和图纸)3.1、器件选择:(1)74LS160 2个;(2)74LS194 2个;(3)555芯片 1个;(3)非门、与非门;(4)发光二极管;(5)电阻;(6)电容3.2 、原理分析:本实验用555芯片来产生脉冲输入后面的芯片。
用上面一块74LS160芯片来控制其为8进制,将此块芯片的12、13、14脚相连取非即可使得此芯片为8进制,然后再取非控制下面的74LS160芯片的输入,使得下面一块芯片作为进位端。
将上面一块74LS160芯片的14脚与下面一块芯片的14脚相连取个与门,然后再取非,作为后面两块74LS194芯片的输入,来表示花型的不同变换。
8路彩灯设计报告解析
设计报告课程名称在系统编程技术任课教师设计题目多路彩灯控制器的设计班级姓名学号日期目录一、题目分析 (1)1.1总体方框图2010-6-1611.2具体功能要求 (1)二、系统设计方案 (2)三、主要模块设计 (2)3.1、时序控制模块 (3)3.2、显示控制电路模块 (3)四、VHDL 源程序设计(见附录) (5)五、系统仿真与分析 (5)5.1 时序控制电路的仿真波形 (5)5、2 显示控制模块的仿真波形 (5)5、3 多路彩灯控制器的仿真波形 (6)五、硬件实现 (7)5.1 引脚锁定(模式5) (7)5.2硬件测试及说明 (8)六、结论 (8)七、设计总结 (9)八、参考文献 (9)九、附录 (10)多路彩灯控制器的设计、八、亠刖言多路彩灯控制器通过对应的开关按钮,能够控制多个彩灯的输出状态,组合多种变幻的灯光闪烁,它被广泛地应用到节日庆典、剧场灯光、橱窗装饰中。
采用数字电路方式实现的多路彩灯控制器其原理比较简单,基于对VHDL的初步学习,利用VHDL语言设计一个灯控制器,能循环变化花型,可清零,可选择花型变化节奏。
本想设计一个16路的彩灯,但是由于实验设备的限制,只能做8路得彩灯,但是其原理并没有区别,都是根据它是由两个主要部分组成,即时序控制电路和显示控制模块两部分组成,利用VHDL语言的结构化和自顶而下的设计方法,先分别设计出时序控制电路和显示控制电路两部分,然后利用VHDL的自顶而下的设计,在总的彩灯控制器设计中引入时序控制和显示控制,即可实现多路彩灯控制器的设计。
亠、题目分析1.1总体方框图1.2具体功能要求本次设计要实现的功能如下:1、彩灯控制器由8路发光二极管构成,当控制器开关打开时,能够在7种不同的彩灯花型之间进行循环变化;2、控制器具备复位功能,一旦复位信号有效,不论控制器花型变化处于何种状态,都会无条件即刻清零,恢复到初始状态;3、设置节拍选择按钮。
按下此按钮,多路彩灯控制器的花型变化的节奏减缓; 放开此按钮,则变化节奏加快。
路LED彩灯控制电路_设计分析方案
《8路LED彩灯控制》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:2018年 6月 9 日目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (3)3.1 器件选择 (3)3.2 实验电路图 (3)3.3 原理分析 (4)3.3.1 脉冲源产生模块 (4)3.3.2 定时器模块 (4)3.3.3 寄存器模块 (4)3.4 具体思路和设计过程 (4)3.4.1 设计思路 (4)3.4.2 具体设计过程 (5)四、焊接与调试 (6)五、总结体会 (6)一、课程设计目的随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。
本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。
而具体的课程设计<包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。
二、课程设计题目描述和要求本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。
三.课程设计报告内容3.1 器件选择555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门74ls20六个、导线若干。
3.2 实验电路图3.3 原理分析3.3.1 脉冲源产生模块实际上是由555定时器和电容、电阻构成的多谐振荡器,它们负责为后面的各个芯片提供时时脉冲。
脉冲周期T=(R1+R2>Cln2=0.33s3.3.2 定时器模块两块十六进制74ls191计数器接成48进制计数器,上面一块芯片的Q0、Q2、Q3端为后面的移位寄存器提供变换的穿行输入信号;下面一块芯片和中间的非门、与非门共同构成数据处理中端,负责对上方各输出端的信号脉冲进行处理,并且传至寄存器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《8路LED彩灯控制》课程设计报告
专业:
班级:
姓名:
学号:
同组成员:
指导教师:
2011年 1 月8 日
目录
一、课程设计目的 (3)
二、课程设计题目描述和要求 (3)
三、课程设计报告内容 (3)
3.1 器件选择 (3)
3.2 实验电路图 (3)
3.3 原理分析 (4)
3.3.1 脉冲源产生模块 (4)
3.3.2 定时器模块 (4)
3.3.3 寄存器模块 (4)
3.4 具体思路和设计过程 (4)
3.4.1 设计思路 (4)
3.4.2 具体设计过程 (5)
四、焊接与调试 (6)
五、总结体会 (6)
一、课程设计目的
随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。
本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。
而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。
二、课程设计题目描述和要求
本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。
三.课程设计报告内容
3.1 器件选择
555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门
74ls20六个、导线若干。
3.2 实验电路图
3.3 原理分析
3.3.1 脉冲源产生模块
实际上是由555定时器和电容、电阻构成的多谐振荡器,它们负责为后面的各个芯片提供时时脉冲。
脉冲周期T=(R1+R2)Cln2=0.33s
3.3.2 定时器模块
两块十六进制74ls191计数器接成48进制计数器,上面一块芯片的Q0、Q2、Q3端为后面的移位寄存器提供变换的穿行输入信号;下面一块芯片和中间的非门、与非门共同构成数据处理中端,负责对上方各输出端的信号脉冲进行处理,并且传至寄存器。
3.3.3 寄存器模块
两块双向移位寄存器74ls194和8路LED灯组成的中端负责显示任务,寄存器采用“串入并出”的方式,而且每个芯片组各自独立,上方采用脉冲左移方式,下方采用右移方式,当工作时左右移动的串入脉冲共同控制led的亮和灭,进而形成各种花色。
3.4 具体思路和设计过程
3.4.1 设计思路
整个设计的脉冲信号源必不可少,因此首先可以想到用555定时器构成多谐振荡器,为各种芯片提供CP;其次,考虑到计数器的四个输出端Q0 、Q1、Q2、Q3可以输出不同的脉冲(它们分别是010101、00110011、00001111、0000000011111111),可以把它们作为串行输入端的种子信号,再加上必要的中间整合电路,就可以传送至寄存器的串行输入端了;最后发现要想得到有规律的、不断变换的花色,我们还需设置信号的移动方式,这样就为整个设计提供了一条主线了。
3.4.2 具体设计过程
十六进制计数器74ls191的四个输出端脉冲信号见下表:
设:下面一块计数器的Q1端和Q2分别为A、B端
如果把此计数器接成四十八进制计数器,则须把下方的四个输入端接地,并且采用预置数方式。
由此就得到了以下对应关系(A和B的值在00、01、10循环):
其中L表示上片74ls194的串行输入端,R表示下片的串行输入端
所以有:
L=A'B'Q2'+A'BQ3'+AB'Q0'
R=A'B'Q2'+A'B(Q3'Q2'+Q3Q2')'+AB'Q0
进而得到以下真值表:
至此,整个设计的理论部分完成。
四、焊接与调试
将设计的电路图在Proteus中仿真,当运行成功时,开始焊接电路板。
首先将元件在万用板上排好位置,然后开始焊接底座,连接线的数量很多,插孔时要十分仔细。
当完成基本焊接任务时,检查各芯片的高电平脚、地线、电源线是否连接,无误后上电运行。
焊接之后常常会遇到意想不到的结果,这时我们可以使用万用表。
首先,检查脉冲源是否正常工作;然后检查各个焊点是否导通,只要依次耐心检查,最后就可以发现错误所在。
五、总结体会
学完《数字电子技术基础》,我们用理论指导实践,亲自动手设计一件电子产品,当完成的作品正常工作时,我收获的不仅是喜悦,更多的是对数字电路的理解、认识、兴趣。
我们学的知识很多,我们能够设计出来的东西也很多,花费两个星期的课程设计虽这样结束了,但我们的学习进程还在运行,今后学习和设计生涯中我们会越走越远,我们的路也会越走越宽。
参考书目:
[1]阎石《数字电子技术基础》第五版,高等教育出版社,2005年
[2]刘南平,谢莉莉,宋欣《数字电子电路》,科学出版社,2006年。