EDA技术实践课程设计--24进制计数器课件

合集下载

EDA 24进制计数器的设计

EDA 24进制计数器的设计

《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验1:24进制计数器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

2、要求具备使能功能和异步清零功能。

3、设计完成后生成一个元件,以供更高层次的设计调用。

4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。

三、实验程序(原理图)四、仿真及结果分析在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,设计一个24进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步24进制,则个位接成0011,十位接成0010,再用一个四输入(一段接一个使能信号EN)的与非门接到两片74160计数器上的置数端LDN。

把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的24进制。

然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。

五、硬件验证1、选择模式:模式72、引脚锁定情况表:六、小结经过这次的实验工作,让我知道了许多的东西,也对QuartusII这个软件的一个初步认识及应用,也让我了解了许多在书本上所学不到的知识和技能,这为我们在以后的工作起了非常重要的作用。

EDA技术24进制计数器

EDA技术24进制计数器

《EDA技术》课程实验报告
学生姓名:郑月庭
所在班级:电信1001班
指导教师:高金定老师
记分及评价:
一、实验名称
实验1:24进制计数器的设计
二、任务及要求
【基本部分】5分
1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采
用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

2、要求具备使能功能和异步清零功能。

3、设计完成后生成一个元件,以供更高层次的设计调用。

4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。

三、实验程序(原理图)
四、仿真及结果分析
五、硬件验证
1、选择模式:
模式7
2、引脚锁定情况表:
六、小结
初次接触EDA让自己学到了很多的知识,实验中让自己的思维更加的开阔,对这门课程有了一定的了解,也很感兴趣。

总之的收获了不少的知识。

湖北大学 数电实验四、用集成计数器设计24进制计数器

湖北大学  数电实验四、用集成计数器设计24进制计数器

24进制计数器
24进制计数器也是有2片74LS160组成的。

将第一片74LS160的进位信号连到第二片74LS160的。

当第一片74LS160的输出为0100,第二片74LS160为0010时,同时将2片74LS160清零。

其具体连线如下图所
示:
T P E E ,
数字时钟的设计
数字时钟由时、分、秒组成。

进制有60进制、24进制。

60进制计数器设计
60进制计数器由两片74LS160十进制计数器经过一定的方式连接组成。

将第一片74LS160的进位信号连到接到第二片74LS160的,当第二片74LS160的输出为0110时,同时将两片74LS160同时清零。

其具体
连接方式如下:
24进制计数器
24进制计数器也是有2片74LS160组成的。

将第一片74LS160的进位信号连到第二片74LS160的。

当第一片74LS160的输出为0100,第二片74LS160为0010时,同时将2片74LS160清零。

其具体连线如下图所
示:
T P E E , T P E E ,
综合以上设计出数字时钟的电路图如下:。

eda的计数器课程设计

eda的计数器课程设计

eda的计数器课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握计数器的设计原理。

2. 学生能描述不同类型的计数器,并了解它们在实际应用中的区别。

3. 学生能运用所学知识,分析并设计简单的计数器电路。

技能目标:1. 学生能够运用EDA工具进行计数器电路的绘制、仿真和调试。

2. 学生能够通过小组合作,解决设计过程中遇到的问题,提高团队协作能力。

3. 学生能够运用所学知识,独立完成计数器电路的设计与验证。

情感态度价值观目标:1. 学生对电子设计产生兴趣,培养探究精神和创新意识。

2. 学生在小组合作中,学会倾听、尊重他人意见,培养良好的沟通能力和团队精神。

3. 学生能够认识到科技发展对社会进步的重要性,增强社会责任感和使命感。

课程性质:本课程为电子信息科学与技术专业的实践课程,旨在让学生通过实际操作,掌握计数器的设计原理和EDA工具的使用。

学生特点:学生已具备一定的电子基础知识和EDA软件操作能力,具有较强的动手能力和探究欲望。

教学要求:结合学生特点和课程性质,注重理论与实践相结合,强调动手实践和团队合作,提高学生的实际操作能力和创新能力。

通过本课程的学习,使学生能够达到上述课程目标,为后续课程和实际工作打下坚实基础。

二、教学内容本课程教学内容主要包括以下几部分:1. 计数器原理与分类- 计数器的基本概念、功能和分类- 不同类型计数器(同步、异步、Johnson等)的工作原理及应用2. EDA工具使用- 介绍EDA软件的基本操作和功能- 计数器电路的绘制、仿真与调试方法3. 计数器设计实践- 设计简单的同步计数器电路- 设计简单的异步计数器电路- 设计简单的Johnson计数器电路4. 小组讨论与总结- 分析设计过程中遇到的问题及解决方法- 各小组展示设计成果,分享经验和心得教学内容安排与进度:第1周:计数器原理与分类学习,了解EDA工具的基本操作第2周:设计简单的同步计数器电路,进行仿真与调试第3周:设计简单的异步计数器电路,进行仿真与调试第4周:设计简单的Johnson计数器电路,进行仿真与调试第5周:小组讨论与总结,展示设计成果教材章节与内容:第1章 计数器原理与分类第2章 EDA工具使用第3章 计数器设计实践第4章 小组讨论与总结三、教学方法本课程采用以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:教师通过讲解计数器原理、分类及EDA工具的使用方法,为学生奠定理论基础。

eda计数器的课程设计

eda计数器的课程设计

eda计数器的课程设计一、课程目标知识目标:1. 让学生理解EDA(电子设计自动化)计数器的基本原理和功能;2. 使学生掌握计数器的种类、工作方式及应用场景;3. 帮助学生掌握使用EDA工具进行计数器设计的方法。

技能目标:1. 培养学生运用EDA工具设计简单计数器的能力;2. 培养学生分析计数器电路、调试和优化电路的技能;3. 提高学生团队协作和沟通表达的能力。

情感态度价值观目标:1. 培养学生对EDA技术及电子设计的兴趣和热情;2. 培养学生严谨、细致、勇于探索的科学态度;3. 增强学生的国家认同感和自豪感,认识到我国在EDA技术领域的发展。

课程性质分析:本课程为电子技术专业课程,以实践为主,理论联系实际。

结合学生特点和教学要求,注重培养学生的实际操作能力和团队合作精神。

学生特点分析:学生为高中生,具有一定的电子技术基础和动手能力,对新鲜事物充满好奇心,喜欢探索和实践。

教学要求:1. 理论与实践相结合,注重培养学生的实际操作能力;2. 激发学生的创新意识,提高学生的设计能力;3. 引导学生关注我国EDA技术领域的发展,培养学生的国家认同感。

二、教学内容1. 计数器原理:讲解计数器的基本概念、分类(同步、异步计数器)、工作原理和功能;教材章节:第二章第二节《计数器的基本概念与分类》2. EDA工具使用:介绍常见的EDA工具(如Multisim、Proteus等),学习如何使用这些工具进行计数器设计;教材章节:第三章第一节《电子设计自动化工具介绍》3. 计数器设计:分析简单计数器电路原理,学习使用EDA工具进行设计,包括电路图绘制、仿真测试等;教材章节:第三章第三节《计数器的设计与仿真》4. 电路调试与优化:学习如何对计数器电路进行调试、优化,提高电路性能;教材章节:第四章第二节《电路调试与优化方法》5. 实践操作:分组进行计数器设计实践,培养团队协作能力和实际操作技能;教材章节:第五章《实践操作》6. 总结与拓展:总结计数器设计与实践过程中的经验教训,探讨计数器在其他领域的应用;教材章节:第六章《课程总结与拓展》教学内容安排与进度:1. 计数器原理(1课时)2. EDA工具使用(1课时)3. 计数器设计(2课时)4. 电路调试与优化(1课时)5. 实践操作(3课时)6. 总结与拓展(1课时)总计8课时,确保学生在掌握理论知识的基础上,充分进行实践操作,提高综合运用能力。

24进制计数器课程设计

24进制计数器课程设计

24进制计数器课程设计一、课程目标知识目标:1. 学生能理解24进制计数原理,掌握24进制与十进制的转换方法;2. 学生能运用24进制进行简单数学运算,如加、减、乘、除;3. 学生了解24进制在实际应用中的优势,例如在时间计算、编码等领域。

技能目标:1. 学生能够独立完成24进制与十进制的相互转换;2. 学生能够运用24进制进行基本的数学运算,解决实际问题;3. 学生通过小组合作,设计并制作一个简单的24进制计数器。

情感态度价值观目标:1. 学生培养对进制转换的兴趣,提高学习数学的积极性;2. 学生养成合作、探究的学习习惯,增强团队协作能力;3. 学生认识到数学在生活中的广泛应用,提高数学素养。

课程性质:本课程属于数学课程,旨在让学生掌握进制转换知识,提高数学应用能力。

学生特点:六年级学生具备一定的数学基础,思维活跃,好奇心强,喜欢探究新知识。

教学要求:注重理论与实践相结合,鼓励学生动手实践,培养实际操作能力;强调小组合作,培养学生的团队协作精神。

通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高解决问题的能力。

二、教学内容1. 24进制计数原理介绍:通过课本知识,讲解24进制的定义,对比十进制,让学生理解进制的概念和转换方法。

- 章节关联:《数学》六年级上册,第三章“数的认识”,第二节“认识进制”。

2. 24进制与十进制的转换:详细讲解转换方法,举例说明,让学生掌握两种进制之间的转换技巧。

- 教学安排:2课时。

3. 24进制数学运算:讲解24进制下的加、减、乘、除运算规则,让学生学会运用24进制进行计算。

- 教学安排:2课时。

4. 实际应用案例分析:分析24进制在实际生活中的应用,如时间计算、编码等,提高学生的实际应用能力。

- 章节关联:《数学》六年级上册,第三章“数的认识”,第四节“进制在实际生活中的应用”。

5. 小组合作制作24进制计数器:分组让学生设计并制作计数器,巩固所学知识,培养学生的动手能力和团队协作精神。

EDA二 4位加法计数器设计

EDA二 4位加法计数器设计

6)可调时钟输出单元底板上ADJ_CLK为4位拨码开关SW17--SW20来控制输出40M分频后的可调时钟具体对应如下SW20 SW19 SW18 SW17 ADJ_CLK1 1 1 1 1Hz0 1 1 1 5Hz1 0 1 1 10Hz0 0 1 1 25Hz1 1 0 1 50Hz0 1 0 1 500Hz1 0 0 1 1KHz0 0 0 1 2.5KHz1 1 1 0 10KHz0 1 1 0 20KHz1 0 1 0 50KHz0 0 1 0 200KHz1 1 0 0 500KHz0 1 0 0 2MHz1 0 0 0 5MHz0 0 0 0 20MHzCLK1 10MHz固定时钟(40MHz分频产生)CLK2 1MHzCLK3 100KHzCLK4 5KHzCLK5 100Hz实验四 4位加法计数器设计一、实验目的学习时序逻辑电路的设计、仿真和硬件测试;加深理解VHDL设计技术。

二、实验原理图4-4-1是一含计数使能、异步复位和计数值并行预置功能的4位加法计数器,其VHDL描述参见例4-4-1。

由图4-4-1所示,图中间是4位锁存器(即D触发器);RST是异步清零信号,高电平有效;CLK是锁存信号;D[3..0]是4位数据输入端;PST是同步并行预置信号,高电平有效,置数值为Data[3:0];Outy[3:0]是计数值输出;Cout是计数溢出信号。

① RST为异步清零信号,高电平有效,一旦RST=1,4位锁存器输出状态复位为“0000”;② ENA为同步计数使能信号,当CLK为上升沿时z当ENA=“1”时,加法计数,CQI= CQI+1;z当ENA=“0”时,保持原数,CQI= CQI;③ PST为同步并行预置信号,当CLK为上升沿时图4-4-1含计数使能、异步复位和计数值并行预置功能的4位加法计数器三、实验内容(1)对例4-4-1含计数使能、异步复位和计数值并行预置功能的4位加法计数器的VHDL设计,说明例中各语句的作用,并详细描述示例的功能特点。

eda24进制计数器设计

eda24进制计数器设计

EDA24进制计数器设计1. 任务背景计数器是计算机系统中常见的一种电路,用来实现对数字进行计数的功能。

传统的计数器一般是采用二进制表示数字,然而在某些特定的应用场景中,使用其他进制的计数器能够更方便和高效。

EDA24进制计数器是指使用24进制来表示数字的计数器。

24进制是一种特殊的进制,它由24个数字符号(0-23)组成,分别对应于十进制的0-9、字母A-J、字母K-T和字母U-Y。

使用24进制计数器可以更精确地表示某些特定范围内的数字,而且减少了数字的位数和转换过程中的计算复杂度。

本文将介绍如何设计一个EDA24进制计数器,包括计数器的原理、硬件设计和功能实现等方面的内容。

2. 原理介绍EDA24进制计数器的工作原理与传统的计数器类似,主要分为三个部分:计数器状态存储、计数器状态更新和计数器输出。

2.1 计数器状态存储EDA24进制计数器需要使用存储器来保存当前的计数器状态。

由于EDA24进制有24个数字符号,每个符号对应一个存储单元,因此需要一个24位的存储器来存储计数器的状态。

存储器的结构可以采用RAM或者寄存器等形式。

当计数器进行更新时,计数器状态存储器会读取新的计数器状态。

2.2 计数器状态更新EDA24进制计数器的计数逻辑与二进制计数器类似,但需要对进位的处理进行特殊处理。

在24进制下,当某一位达到23时,需要进行进位操作,并将低位的符号进行进位。

例如,当计数器达到23时,进位得到的数字为10(对应K),并将低位的数字进行滚动。

以一个4位的EDA24进制计数器为例,计数范围为0000~2323。

初始状态为0000,当计数值增加时,每一位的变化规律如下:•当个位(最低位)从0~2变化时,直接递增;•当个位达到3时,个位变为0,十位(倒数第二位)递增;•当十位从0~2变化时,直接递增;•当十位达到3时,十位变为0,百位(倒数第三位)递增;•当百位从0~2变化时,直接递增;•当百位达到3时,百位变为0,千位(最高位)递增;•当千位从0~2变化时,直接递增;•当千位达到3时,计数器归零。

eda课程设计计数器

eda课程设计计数器

eda课程设计 计数器一、课程目标知识目标:1. 理解计数器的基本概念,掌握其工作原理;2. 学会运用EDA工具进行计数器的设计与仿真;3. 掌握计数器的应用场景,了解其在数字系统中的作用。

技能目标:1. 能够运用所学知识,设计简单的计数器电路;2. 熟练使用EDA工具进行电路的绘制、仿真和调试;3. 培养动手实践能力和团队协作能力,提高问题解决能力。

情感态度价值观目标:1. 培养学生对电子设计的兴趣,激发学习热情;2. 培养学生的创新意识和探究精神,鼓励尝试和改进;3. 增强学生的责任感,认识到计数器在现代社会生活中的重要性。

课程性质:本课程为电子设计自动化(EDA)课程的实践环节,旨在让学生通过动手实践,掌握计数器的设计与应用。

学生特点:学生具备一定的电子基础知识,对EDA工具有一定的了解,具有较强的学习能力和动手能力。

教学要求:结合学生特点和课程性质,注重理论与实践相结合,强调动手实践,培养学生的实际操作能力。

通过本课程的学习,使学生能够将所学知识应用于实际项目中,提高其电子设计能力。

教学过程中,关注学生的学习进度,及时调整教学策略,确保课程目标的实现。

二、教学内容1. 计数器原理讲解:- 理解异步计数器与同步计数器的工作原理;- 掌握二进制、十进制和任意进制计数器的设计方法。

2. EDA工具使用:- 学习并熟练使用Multisim、Proteus等EDA工具进行计数器电路设计;- 掌握电路绘制、仿真和调试的基本步骤。

3. 实践操作:- 设计并实现一个简单的二进制计数器电路;- 设计并实现一个十进制计数器电路;- 尝试设计任意进制计数器电路。

4. 教学大纲:- 第一章:计数器原理及分类;- 第二章:EDA工具的基本操作;- 第三章:二进制计数器设计与实践;- 第四章:十进制计数器设计与实践;- 第五章:任意进制计数器设计与实践。

5. 教学内容安排与进度:- 原理讲解:2课时;- EDA工具使用:2课时;- 二进制计数器设计:2课时;- 十进制计数器设计:2课时;- 任意进制计数器设计:2课时。

24进制计数(zk)

24进制计数(zk)
1. 信源选择: CH1未加信号,信号CH2,信源选择CH1
2. 触发电平:在信号变化范围外
14
屏幕提示触发信息
触发水平位置
触发 电平
触发电平 的数字值
触发信源
15
触发斜率
TRIGGER
与触发有关的操作
触发控制钮
LEVEL
1. LEVEL(电平) —— 改变触发电平值 正确操作:应使触发电平设在信号振幅范围内
18
1.
观测3个以上的波形,应该如何操作?
• 应将所有波形与频率最低的波形比较! • 建议将频率最低(周期最长)的信号始终保持在CH1中
具体操作: 选择频率最低的信号Q2 CH1显示 触发信源选择 CH1 其它信号CP、Q1分别送 CH2显示
错误的操作: ①观察CP和Q1 ②观
1
0
1
2
正确:信源=CH2
1 CP 0 Q1 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9
1
显示情况
2
20
3、观察计数器的波形时,触发斜率应选上升沿还 是下降沿?
加法计数器 应选下降沿触发 减法计数器 应选上升沿触发
1 CP 0 Q1 0 Q2 0 1 1 0 0 1 1 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9
2. MENU(菜单) —— 显示触发功能菜单
3. SET LEVEL TO 50%(设为50%) —— 将触发电平设在信号振幅范围的中点
16
TRIGGER
边沿触发功能菜单
触发类型 —— 一般选“边沿”触发
边沿 视频 斜率 上升
信源 CH1 触发方式 自动 耦合 直流
可选:上升沿触发、下降沿触发 内触发:CH1、CH2 外触发:EXT、EXT/5 交流线 —— 即50Hz工频信号 可选:自动、正常、单次触发 可选:直流、交流、噪音抑制、高频抑制、 低频抑制

EDA 二十四进制计数器设计

EDA 二十四进制计数器设计

实训报告课程名称:学生姓名:学号:专业班级:年月日南昌大学实训报告学生姓名:学号:专业班级:设计□创新实训日期:实验成绩:实训类型:□验证□综合一、实训项目名称24进制计数器设计二、实训目的由中规模可预置二进制加法计数器40161和门电路组成二十四进制计数器(8421BCD码)三、实训要求1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。

四、实训基本原理(附源程序清单,原理图、RTL图)用两个ls161为主,其中一个为输出结果的低四位,另一个为输出结果的高4位,低四位从0000到1001(即十进制的九)然后置0000 并且高四位加1,如此到高四位为0010,第四位为0011,这时计数到23,进位信号输出1,同时8位输出同时置0;原理图:源程序:module ls161(Q,RCO,D,ET,EP,LOAD,CLR,CLK); output [3:0]Q;output RCO;input [3:0]D;input LOAD,ET,EP,CLR,CLK;reg [3:0]Q;wire EN;assign EN=ET&EP;always@(posedge CLK or negedge CLR)beginif(!CLR)Q=4'b0000;else if(!LOAD)Q=D;else if(EN)beginif(Q==15)Q=0;elseQ=Q+1;endendassign RCO=((Q==4'b1111)&EN)?1:0; endmodulemodule XS7D(DIN,DOUT);input [3:0] DIN;output [6:0] DOUT;reg [6:0]DOUT;always@(DIN)begincase(DIN)0:DOUT='b0111111;1:DOUT='b0000110;2:DOUT='b1011011;3:DOUT='b1001111;4:DOUT='b1100110;5:DOUT='b1101101;6:DOUT='b1111101;7:DOUT='b0000111;8:DOUT='b1111111;9:DOUT='b1101111;endcaseendendmodulemodule qzm(DOUTH,DOUTL,CO,CLK);output DOUTH,DOUTL,CO;input CLK;wire [3:0]QH;wire [3:0]QL;wire [3:0]D;wire [6:0]DOUTH;wire [6:0]DOUTL;wire CO,LOADL,LOADH,LOADR,ENR;wire VCC,GND;assign D=4'b0000,VCC=1,GND=0;ls161 u1(.Q(QL),.RCO(GND),.D(D),.ET(VCC),.EP(VCC),.LOAD(LOADH),.CL R(VCC),.CLK(CLK));ls161 u2 (.Q(QH),.RCO(GND),.D(D),.ET(ENR),.EP(ENR),.LOAD(LOADL),.CL R(VCC),.CLK(CLK));nand u3 (LOADR,QL[0],QL[3]);nand u4 (LOADL,QH[1],QL[1],QL[0]);not u5 (ENR,LOADR);not u6 (CO,LOADL);and u7 (LOADH,LOADR,LOADL);XS7D u8 (.DIN(QL),.DOUT(DOUTL));XS7D u9 (.DIN(QH),.DOUT(DOUTH));endmoduleRTL图:五、主要仪器设备、软件及耗材Quartus II EDA开发板六、调试过程及处理结果波形图;七、思考讨论题或体会或对改进实验的建议。

eda24进制计数器设计

eda24进制计数器设计

设计一个EDA(电子设计自动化)工具的24进制计数器的基本步骤如下:
1. 构建基本逻辑单元:
在设计24进制计数器之前,首先需要构建基本逻辑单元,如触发器或锁存器,以便存储和处理计数。

2. 确定计数范围:
在开始设计前,需要确定计数器的计数范围。

例如,如果需要表示0到23的范围,则计数器需要能在该范围内有效计数。

3. 推导需求特征:
基于你选择的基本逻辑单元推导组合逻辑和时序逻辑特征,以设计恰当的计数器。

4. 设计状态机:
设计一个有限状态机(FSM),以观察计数器状态的转换并确保可靠性和稳定性。

5. 设计24进制加法器:
设计一个全加器以实现24进制数的加法操作。

由于24进制计数器每个位最高数值为23(用0-N表示,例如0-9、A-N或0-夜,按照标准24进制),因此需要考虑进位。

6. 链接基本组件:
将设计好的24进制加法器连接到触发器或锁存器,以更新计数值并从一个状态迁移到另一个状态。

7. 时钟控制:
引入时钟模块来控制计数器的工作节奏。

每次时钟周期到达时,计数器将更新计数值。

8. 设计复位和清零逻辑:
实现一个逻辑控制来负责复位及清零操作,将计数器重置为初始状态。

9. 进行仿真测试:
运行仿真测试,检查计数器在不同条件下的运行情况,确保其准确、可靠地工作。

10. 设计可视化界面:
创建一个可视化界面,以便于用户直观地获取计数器状态和计数过程。

EDA技术实践课程设计进制计数器

EDA技术实践课程设计进制计数器

东北石油大学EDA技术实践课程设计年7月25日EDA技术实践课程设计任务书课程EDA技术实践课程设计题目24进制计数器专业电气工程及其自动化姓名学号主要内容:1.熟练掌握Quartus II软件的使用。

2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。

3.学会用例化语句对EDA电路设计中顶层电路进行描述。

基本要求:1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。

主要参考资料:[1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002.[2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001.[3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999.[4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002.[5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002.完成期限指导教师专业负责人年7 月18日目录1设计 (1)2方案选择与电路原理图的设计 (1)2.124进制计数器的基本原理 (1)2.2设计流程图 (1)2.3原理图 (1)374LS161元件说明 (2)3.1 简介 (2)3.274ls161管脚图与介绍 (2)3.374ls161功能表 (3)3.474ls161主要特点 (3)4设计过程 (4)4.1新文件的建立 (4)4.2宏功能模块的使用 (5)4.3普通元件的添加 (8)4.4 电路连接 (9)5功能仿真 (9)6出现的问题及调试方法 (11)7总结 (11)参考文献 (12)附录VHDL语言编写的该程序清单 (13)1 设计设计一个二十四进制计数器,计数状态从0~23,要求有译码显示。

eda计数课程设计

eda计数课程设计

eda计数课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)计数的基本原理和方法;2. 使学生了解计数器在数字电路设计中的应用和重要性;3. 帮助学生理解不同类型计数器的特点及适用场合。

技能目标:1. 培养学生运用EDA工具进行计数器设计、仿真和验证的能力;2. 提高学生分析计数器电路、解决实际问题的能力;3. 培养学生团队协作、沟通交流和动手实践的能力。

情感态度价值观目标:1. 培养学生对EDA技术及其在数字电路领域应用的兴趣和热情;2. 增强学生面对问题时的探究精神和责任感;3. 引导学生树立正确的价值观,认识到科技发展对国家和社会的重要性。

课程性质分析:本课程为电子技术相关课程,适用于高年级学生,具有较强的实践性和应用性。

学生特点分析:高年级学生对电子技术有一定的基础,具备一定的EDA工具使用能力,求知欲强,喜欢挑战性任务。

教学要求:1. 结合课本内容,注重理论与实践相结合,提高学生的实际操作能力;2. 注重启发式教学,引导学生主动思考、发现问题、解决问题;3. 针对不同学生的学习需求,制定个性化的教学方案,确保课程目标的实现。

二、教学内容1. 计数器原理:回顾数字电路基础知识,讲解计数器的基本概念、分类及其工作原理。

教材章节:《数字电路》第三章第三节“计数器”2. EDA工具使用:介绍常见的EDA工具,如Multisim、Proteus等,教授学生如何使用这些工具进行计数器电路设计、仿真和验证。

教材章节:《电子设计自动化》第二章“EDA工具及其使用”3. 顺序计数器设计:讲解顺序计数器的设计方法,引导学生运用EDA工具进行电路设计。

教材章节:《数字电路》第三章第四节“顺序计数器”4. 同步计数器设计:介绍同步计数器的工作原理和设计方法,指导学生利用EDA工具进行同步计数器设计。

教材章节:《数字电路》第三章第五节“同步计数器”5. 应用案例分析:分析实际应用中计数器的典型应用案例,让学生了解计数器在实际工程项目中的重要作用。

eda计数器课程设计

eda计数器课程设计

eda计数器课程设计一、课程目标知识目标:1. 让学生理解EDA计数器的基本概念,掌握计数器的工作原理;2. 学会使用EDA工具进行计数器的设计、仿真和验证;3. 了解计数器在不同领域中的应用,如数字信号处理、通信系统等。

技能目标:1. 培养学生运用所学知识,独立设计简单的EDA计数器电路;2. 提高学生分析、解决计数器设计过程中遇到问题的能力;3. 培养学生运用EDA工具进行电路仿真和验证的能力。

情感态度价值观目标:1. 激发学生对电子设计自动化(EDA)技术的兴趣,培养其主动学习的积极性;2. 培养学生团队合作精神,学会与他人共同解决问题;3. 增强学生的创新意识,鼓励他们勇于尝试、不断探索。

课程性质:本课程为电子技术专业课程,旨在让学生掌握EDA计数器的设计与应用。

学生特点:学生具备一定的电子技术基础知识,对EDA技术有一定了解,但实际操作能力有待提高。

教学要求:结合学生特点和课程性质,注重理论与实践相结合,强化实践操作,提高学生的实际动手能力。

通过本课程的学习,使学生能够将所学知识应用于实际电路设计中,培养具备创新精神和实践能力的电子技术人才。

教学过程中,注重分解课程目标为具体学习成果,以便进行教学设计和评估。

二、教学内容1. 计数器原理及分类:介绍计数器的基本概念、工作原理,以及同步、异步计数器等不同类型的计数器;教材章节:第二章第二节2. EDA工具使用:讲解如何使用EDA工具(如Multisim、Proteus等)进行计数器的设计、仿真和验证;教材章节:第三章第一节3. 计数器设计方法:介绍基于触发器和门电路的计数器设计方法,以及如何优化计数器设计;教材章节:第四章4. 计数器应用案例分析:分析计数器在数字信号处理、通信系统等领域的应用案例;教材章节:第五章5. 实践操作:指导学生进行EDA计数器的设计、仿真和验证,培养学生的实际操作能力;教材章节:第六章6. 课程总结与拓展:对本章内容进行总结,引导学生思考计数器的未来发展及其在新兴领域的应用。

24进制计数器

24进制计数器

学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:同步计数器二、实验目的与要求:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。

三、实验内容:通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:clk:计数时钟脉冲输入;clr:异步清零信号,高电平有效,此时输出显示为“00”ten[6..0]:十位数的7段数码管显示输出;one[6..0]:个位数的7段数码管显示输出;六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-------------------------------entity cnt24 isport(clk,clr:in std_logic;ten,one:out std_logic_vector(6 downto 0);co:out std_logic);end entity cnt24;---------------------------architecture str of cnt24 issignal ten_temp,one_temp:std_logic_vector(3 downto 0); begin--------------------------process(clr,clk) isbeginif (clr='1') thenten_temp<="0000";one_temp<="0000";co<='0';elsif (clk'event and clk='1') thenif(one_temp="0011" and ten_temp="0010") then one_temp<="0000";ten_temp<="0000";co<='1';elsif(one_temp="1001") thenten_temp<=ten_temp+1;one_temp<="0000";elseone_temp<=one_temp+1;end if;end if;end process;----------------------------process(one_temp) isbegincase one_temp iswhen"0000"=>one<="1111110";when"0001"=>one<="0110000";when"0010"=>one<="1101101";when"0011"=>one<="1111001";when"0100"=>one<="0110011";when"0101"=>one<="1011011";when"0110"=>one<="1011111";when"0111"=>one<="1110000";when"1000"=>one<="1111111";when"1001"=>one<="1111011";when others=>one<="1111110"; end case;end process;---------------------------------- process(ten_temp)isbegincase ten_temp iswhen"0000"=>ten<="0000000";when"0001"=>ten<="0110000";when"0010"=>ten<="1101101";when others=>ten<="1111110"; end case;end process;-----------------------------------end str;七、实验结果与分析:1,RTL仿真如下:2,时序仿真结果:3,代码下载后的部分实例:计数到23时,无进位。

(数电课设)二十四进制计数器

(数电课设)二十四进制计数器

一.课程设计目的《电子技术基础2-2课程设计》是学习理论课程之后的实践教学环节。

目的是通过解决比较简单的实际问题巩固和加深在《电子技术基础2-2(数字电子技术基础)》课程中所学的理论知识和实验技能。

训练学生综合运用学过的电子技术基础知识,在教师指导下完成查找资料,选择、论证方案,设计电路,安装调试,分析结果,撰写报告等工作。

使学生初步掌握数字电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问题的能力和创新能力,为后续课程的学习、毕业设计和毕业后的工作打下一定的基础。

数字电子课程设计是理论教学之后的一个综合性实践教学环节,是对课程理论和课程实验的综合和补充。

学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。

不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的内容。

理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才是真正的知识,才能提高自己的实际动手能力和独立思考的能力。

在设计的过程遇到各种各样的问题,同时在设计的过程中发现自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计,把以前所学过的知识重新温故,巩固所学的知识。

二.设计方案论证1.设计方案的选择通过查阅资料对不同的设计方案进行比较论证,根据现有条件选择合适的设计方案,Multisim有其丰富的仿真分析能力以及完整的电路原理图图形输入和电路硬件描述语言输入方式,结合了直观的捕捉和功能强大的仿真特点,能快速、轻松、高效地对电路进行设计和验证。

引入Multisim软件帮助我们快速且轻松地将刚学到的理论知识用计算机仿针真实地再现出来。

广工quartus 24进制计数器

广工quartus 24进制计数器

数电实验报告实验名称可编程逻辑器件制作任意进制计数器学院自动化学院年级班别学号学生姓名指导教师年月日用可编程逻辑器件设计计数器任意进制计数器一、实验目标1)掌握中规模集成计数器的逻辑功能,以及用中规模集成技术器构成任意进制计数器的方法2)熟悉译码器和数据显示器的使用方法3)了解数字可编程器件实现的集成计数、译码电路功能二、实验方案+步骤用中规模集成计数器(74LS160)设计一个二十四进制计数器,并与译码、显示电路连接起来。

⑴ 设计总框架:⑵ 设计总原理图如下:⑶ 分步分析:①分频器模块:分频器 计数器 B C D 七段字符显示译码器 数码管50MHz 2Hz BCD 码 译码输出本实验采用DEII 板进行验证,DEII 板上有两个内置的频率源,它们的振荡频率分别是50MHz 与27MHz 。

但是这样的频率对于我们时序电路的应用而言,显然太高了。

为此我们在内置频率源后应加一个分频器(74LS292),以得到我们需要的比较适中的频率(比如1~2Hz )DE2上有内置的50MHz 时钟CLOCK_50EDCBA = (11001 )2= (25)10②计数器模块本实验采用两片10进制计数器74LS160芯片来进行24进制计数器的设计。

③显示模块由实验板的数码管是共阳性,所以采用7446译码器来驱动。

三、时序仿真①计数器模块24个脉冲输出一个进位脉冲,即代表24进制。

②显示模块Hz MHz CLK f Q 6.1250212525≈==四、实验验证实验板上的两个数码管循环显示数字从0-23,即实现24进制电路的设计。

五、实验心得本实验主要需要先想好要用什么芯片来设计24进制电路,记忆最后需要用什么译码器来显示结果。

24进制的电路设计原理可以推广到其他任意进制的设计。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
另一种方法:直接双击原理图的任一空白处, 会弹出一个元件对话框。 在 Name 栏目中输入 74161 ,我们就得到一个四位二进制计数器。
图 4.7 74ls161 完成品
7
EDA 技术实践课程设计 (报告)
4.3 普通元件的添加
双击原理图的任一空白处,会弹出一个元件对话框。在
Name 栏目中输入

打开新建设计文件对话框,如下图。选择【 Block Diagram/Schematic File】,点击
OK ,即进入原理图编辑界面。
4
EDA 技术实践课程设计 (报告)

图 4.1 新建工程项目
图 4.2 新建设计文件
4.2 宏功能模块的使用
双 击 原 理 图 编 辑 窗 口 , 在 弹 出 的 元 件 选 择 窗 口 的 “ Libraries”栏 中 选 择 “ arithmetic”中的 lpm_counter 元件,如下图所示 ,
( 5)进位输出 C
当计数控制端 T=1,且触发器全为 1 时,进位输出为 1,否则为 0。
4 设计过程
4.1 新文件的建立
建立新项目工程,方法如右图点击: 【File】菜单,选择下拉列表中的【 New
Project Wizard...】命令,打开建立新项目工程的向导对话框。
从 File 菜单中选择【New… 】命令,或直接点击常用工具栏的第一个按钮
4
4.1 新文件的建立 .......................................................
4
4.2 宏功能模块的使用 ..................................................
5
4.3 普通元件的添加 ....................................................
13
EDA 技术实践课程设计 (报告)
1 设计
设计一个二十四进制计数器,计数状态从 0~23,要求有译码显示。
2 方案选择与电路原理图的设计
2.1 24 进制计数器的基本原理
用两个 74ls161 为主,其中一个为输出结果的低四位, 另一个为输出结果的高 4 位,低四位从 0000 到 1001(即十进制的九)然后置 0000 并且高四位加 1,如 此到高四位为 0010,第四位为 0011,这时计数到 23,进位信号输出 1,同时 8 位 输出同时置 0;
图 4.5 参数界面 2
6
EDA 技术实践课程设计 (报告)
弹出定制 lpm_counter 元件对话框 5。可为计数器添加同步或者异步输入控制 端口,如“ Clear(清除)”、“Load(加载)”和“ Set(设置)”。如果不要添加这些 端口,直接单击“ Next>”按钮即可。
图 4.6 参数界面 3
and2 ,我们就得到一个 2 输入的与门。
点击 OK 按钮,将其放到原理图的适当位置。重复操作,放入另外两个 2 输
入与门。也可以通过右键菜单的 Copy 命令复制得到。
图 4.8 普通元件的添加
双击原理图的空白处,打开元件对话框。在 Name 栏目中输入 Input , 我们 便得到一个输入引脚。点击 OK 按钮,放入原理图。同理,在 Name 栏目中输入 output ,我们会得到一个输出引脚。
2.2 设计流程图
开始
查找资料
选定计数器总结仿真与来自试图 2.1 设计流程图
2.3 原理图
由采用两个 74ls161 和一个与非门构成的最基本的 24 进制计数器。
1
EDA 技术实践课程设计 (报告)
图 2.2 24 进制计数器原理图
3 74LS161 元件说明 3.1 简介
74LS161 是常用的四位二进制可预置的同步加法计数器, 它可以灵活地运用在 各种数字电路,以及单片机系统种实现分频器等很多重要的功能
图 4.3 新增宏模块
对 lpm_counter 元件的各种参数进行选择, 定制适合设计需要的模块, NEXT 。 在“How wide should the ‘q’ output b”us此b处e?输入“ 4”位 ; 并选择“Up only”( 为 双边沿有效 ), NEXT 。
5
EDA 技术实践课程设计 (报告)
图 4.9 输入引脚的添加
8
EDA 技术实践课程设计 (报告)
4.4 电路连接
把所用的元件都放好之后,开始连接电路。将鼠标指到元件的引脚上,鼠标会 变成 “十 ”字形状。按下左键, 拖动鼠标,就会有导线引出。 根据我们要实现的逻辑, 连好各元件的引脚。
图 4.10 完成品
5 功能仿真
在【File】菜单下, 点击【New】命令。在随后弹出的对话框中, 切换到【 Other Files】页。选中【 Vector Waveform File】选项,点击 OK 按钮。
( 3)保持功能
在 CR=LD =1 的条件下,当 T*P = 0 时,不管有无 CP 脉冲作用,计数器都将 保持原有状态不变(停止计数) 。
( 4)同步二进制计数功能
当 CR= LD = P= T=1 时, 74LS161 处于计数状态,电路从 0000 状态开始, 连续输入 16 个计数脉冲后, 电路 将从 1111 状态返回到 0000 状态,状态表见表 2。
3.4 74ls161 主要特点
( 1)异步清零功能
3
EDA 技术实践课程设计 (报告)
当 CR= 0 时,不管其他输人端的状态如何(包括时钟信号 的输出全为零。
CP), 4 个触发器
( 2)同步并行预置数功能
在 CR= 1 的条件下,当 LD =0 且有时钟脉冲 CP的上升沿作用时, D3,D2, D1,D0 输入端的数据将分别被 Q3~Q0 所接收。由于置数操作必须有 CP 脉冲上 升沿相配合,故称为同步置数。
1 1X 0XXXXX
保持
1 1 1 1 ↑X X X X
计数
从 74LS161 功能表功能表中可以知道,当清零端 CR=“0”,计数器输出 Q3、 Q2、Q1、Q0 立即为全“ 0”,这个时候为异步复位功能。 当 CR=“ 1”且 LD=“ 0”时, 在 CP 信号上升沿作用后, 74LS161 输出端 Q3、Q2、Q1、Q0 的状态分别与并行 数据输入端 D3 , D2 , D1 , D0 的状态一 样,为同步 置 数功能 。而只有当 CR=LD=EP=ET“= 1”、CP 脉冲上升沿作用后, 计数器加 1。74LS161 还有一个进位 输出端 CO,其逻辑关系是 CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能 和置数功能,一片 74LS161 可以组成 16 进制以下的任意进制分频器。
基本要求: 1. 熟悉仿真开发软件 Quartus II 的使用; 2. 根据功能要求,用原理图或文本输入方式完成设计; 3. 用 Quartus II 做波形仿真调试; 4. 下载至 EDA 试验仪调试设计。
主要参考资料: [1] 潘松,黄继业 . 《 EDA 技术实用教程》[ M ] . 北京:科学出版社, 2002. [2] 卢杰,赖毅 . 《VHDL 与数字电路设计》[ M ] . 北京:科学出版社, 2001. [3] 张明 . 《 Verilog HDL 实用教程》[ M ]. 成都:电子科技大学出版社, 1999. [4] 郑家龙,王小海,章安元 . 《集成电子技术基础教程》[ M ] . 北京:高等教育出版 社, 2002. [5] 王金明,杨吉斌 . 《数字系统设计与 Verilog HDL 》[ M ] . 北京:电子工业出版社, 2002.
东北石油大学
EDA 技术实践课程设计
课程 题目 院系 专业班级 学生姓名 学生学号 指导教师
EDA 技术实践课程设计 24 进制计数器
电气信息工程学院电气系
年 7 月 25 日
EDA 技术实践课程设计任务书
课程
EDA 技术实践课程设计
题目
24 进制计数器
专业 电气工程及其自动化 姓名
学号
主要内容: 1.熟练掌握 Quartus II 软件的使用。 2.熟练掌握在 QuartusII 平台上用原理图或者 VHDL 语言进行电路设计的方法。 3.学会用例化语句对 EDA 电路设计中顶层电路进行描述。
2
3.3 74ls161 功能表 .....................................................
3
3.4 74ls161 主要特点 ...................................................
3
4 设计过程 ..............................................................
1
3 74LS161 元件说明 ......................................................
2
3.1 简介 ..............................................................
2
3.2 74ls161 管脚图与介绍 ...............................................
3.2 74ls161 管脚图与介绍
管脚图介绍: 时钟 CP 和四个数据输入端 P0~P3 清零 /MR 使能 CEP, CET 置数 PE 数据输出端 Q0~Q3 以及进位输出 TC. (TC=Q0·Q1·Q2·Q3·CET)
2
EDA 技术实践课程设计 (报告)
图 3.1 74ls161 管脚图
8
4.4 电路连接 ..........................................................
相关文档
最新文档