电工电子技术课程设计说明书简易数字频率计设计
电子技术课程设计(数字频率计的设计)
一课程设计题目:数字频率计的设计二、功能要求(1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。
(2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ(3)周期范围:1ms~1s。
(4)用3个发光二极管表示单位,分别对应3个高档位。
三频率计设计原理框图正弦波数字频率计原理框图1测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。
改闸门信号控制闸门电路的导通与开断。
让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。
测量频率的误差与闸门信号的精度直接相关。
被测信号频率测量算法对应的方框图四、各部分电路及仿真1 整形电路部分整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。
整形电路可以直接用555定时器构成施密特触发。
本次设计采用555定时器,适当连接若干个电阻就可以构成触发器图1-1 整形电路将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到显示电路闸门产生输入电路闸门计数电路施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。
但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。
2 时基电路时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。
设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。
课程设计数字频率计
课程设计数字频率计一、课程目标知识目标:1. 理解并掌握数字频率计的基本原理与功能,了解其在实际生活中的应用。
2. 学会使用特定软件或工具进行数字频率计的设计与仿真。
3. 掌握基本的计数、计时方法,并将其应用于数字频率计的搭建。
技能目标:1. 能够运用已学知识,设计并搭建一个简单的数字频率计,培养动手操作能力和问题解决能力。
2. 能够运用逻辑思维,分析并优化数字频率计的设计方案,提高创新意识和团队协作能力。
3. 能够熟练运用相关软件或工具进行数字频率计的仿真实验,提高计算机操作技能。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学习热情,形成积极的学习态度。
2. 培养学生的团队合作精神,学会倾听、交流、分享,增强集体荣誉感。
3. 使学生认识到科技对社会发展的作用,提高社会责任感和使命感。
本课程针对初中年级学生,结合电子技术课程内容,以数字频率计为主题,旨在培养学生的动手操作能力、问题解决能力和创新意识。
在教学过程中,注重理论与实践相结合,让学生在实际操作中掌握知识,提高技能,同时注重情感态度价值观的培养,使学生在学习过程中形成积极向上的人生态度。
通过本课程的学习,学生能够达到上述课程目标,为后续相关知识的学习奠定基础。
二、教学内容1. 理论知识:- 数字频率计的基本原理与功能- 频率的定义及测量方法- 计数器、定时器的工作原理2. 实践操作:- 数字频率计的硬件组成与电路设计- 软件仿真工具的使用方法- 设计并搭建数字频率计的实验步骤3. 教学大纲:- 第一阶段:数字频率计基本原理学习(1课时)- 理解频率概念,掌握频率测量方法- 了解数字频率计的基本原理与功能- 第二阶段:硬件组成与电路设计(2课时)- 学习数字频率计的硬件组成- 掌握计数器、定时器的工作原理- 分析并设计数字频率计电路- 第三阶段:软件仿真与实验操作(2课时)- 学习并掌握软件仿真工具的使用方法- 设计实验方案,搭建数字频率计- 进行仿真实验,验证设计效果4. 教材关联:- 本教学内容与教材中“电子技术基础”、“数字电路设计与应用”等章节相关。
电子电路课程设计电子教案 7.1.5简易数字式频率计数器教案
电子电路课程设计课程教案
P91 (1)整体功能要求
频率计数器(简称频率计)主要用于测量正弦波、脉冲波、三角波和其他周期信号的频率。
其扩展功能是可以测量信号的周期和脉冲宽度。
采用数字显示技术(如LED、LCD等)显示测量结果。
为了突出数字电路的应用,本课题被测量信号仅限于TTL脉冲波。
(2)系统结构
数字频率计的整体结构要求如图7-19所示。
外部“被测信号”送入“测量电路”进行处理和测量,“挡位转换”可以用于选择测试项目,包括频率、周期或脉宽,也可以进一步选择测量频率挡位。
(3)技术指标
①被测信号波形:正弦波、三角波和矩形波。
②测量频率范围:分三挡:
1Hz~999Hz;
0.01kHz~9.99kHz;
0.1kHz~99.9kHz。
③测量周期范围:1ms~1s。
④测量脉宽范围:1ms~1s。
⑤测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。
⑥输入阻抗:大于100kΩ。
(4)扩展技术指标
①要求测量频率时,1Hz~99.9kHz的精度均为1%。
②测量占空比。
测量精度:1%分辨率。
测量范围:1%~99%
(5)设计条件
①电源:直流稳压电源提供+5V电压。
②可供选择的元器件见表7-10。
填表说明:1 每项页面大小可自行添减。
2 课次为授课次序,填1、2、3等。
简易频率计的设计课程设计
简易频率计的设计课程设计一、课程目标知识目标:1. 理解并掌握频率的概念,了解频率在电子技术中的应用。
2. 学习简易频率计的设计原理,掌握相关电子元件的功能和连接方式。
3. 掌握简易频率计的电路图绘制方法,理解电路工作原理。
技能目标:1. 能够运用所学知识,设计并搭建一个简易频率计电路。
2. 学会使用相关测量工具和仪器,对简易频率计进行调试和优化。
3. 提高动手实践能力,培养解决实际问题的能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识。
2. 培养学生团队合作精神,学会分享和交流。
3. 增强学生环保意识,养成爱护电子设备的好习惯。
本课程针对初中年级学生,结合电子技术基础知识,设计简易频率计课程。
通过本课程的学习,学生能够掌握频率相关知识,提高动手实践能力,培养创新意识和团队合作精神。
课程目标具体、可衡量,为后续教学设计和评估提供明确方向。
在教学过程中,注重理论与实践相结合,充分调动学生的主观能动性,培养符合时代需求的技能型人才。
二、教学内容1. 频率概念及其应用:介绍频率的定义、单位,频率在电子技术中的应用。
相关教材章节:第一章第三节“频率与周期”2. 简易频率计设计原理:讲解简易频率计的工作原理,分析电路中各元件的作用。
相关教材章节:第二章第五节“简易频率计的设计与应用”3. 电子元件及电路连接:学习常用电子元件的功能、符号及使用方法,掌握电路连接技巧。
相关教材章节:第二章第一节“常用电子元件”和第二节“电路的连接方法”4. 简易频率计电路图绘制:学习电路图的绘制方法,根据设计原理绘制简易频率计电路图。
相关教材章节:第二章第四节“电路图的绘制”5. 电路搭建与调试:动手搭建简易频率计电路,使用测量工具进行调试,优化电路性能。
相关教材章节:第三章第二节“电路搭建与调试方法”6. 实践操作与总结:分组进行实践操作,交流心得体会,总结课程所学内容。
相关教材章节:第三章第三节“实践操作与总结”教学内容安排和进度:第一课时:频率概念及其应用,简易频率计设计原理第二课时:电子元件及电路连接,简易频率计电路图绘制第三课时:电路搭建与调试,实践操作与总结教学内容科学系统,注重理论与实践相结合,旨在帮助学生掌握简易频率计的设计与应用,培养动手实践能力和创新意识。
电子课程设计简单频率计
电子课程设计简单频率计一、教学目标本课程旨在通过学习电子课程设计简单频率计,让学生掌握基本的电子电路知识,培养学生运用科学的方法和技能进行电子设计与制作的实践能力。
同时,通过课程的学习,使学生认识到电子技术在现代社会中的广泛应用,培养学生的创新精神和团队合作意识。
具体的教学目标如下:1.了解频率计的基本原理和结构;2.掌握常用的电子元器件的功能和用途;3.学习简单的电子电路设计方法。
4.能够运用万用表、示波器等仪器进行电子电路的测试;5.能够根据设计要求,选用合适的元器件,进行简单的电子电路设计与制作;6.能够对电子电路进行调试和故障排除。
情感态度价值观目标:1.培养学生对电子技术的兴趣和好奇心,激发学生的学习热情;2.培养学生团队合作、积极探究的科学精神;3.使学生认识到电子技术在现代社会中的重要性,增强学生的社会责任感和使命感。
二、教学内容本课程的教学内容主要包括以下几个部分:1.频率计的基本原理和结构;2.常用的电子元器件的功能和用途;3.简单的电子电路设计方法;4.电子电路的制作与调试技巧。
具体的教学安排如下:第一课时:介绍频率计的基本原理和结构;第二课时:学习常用的电子元器件的功能和用途;第三课时:学习简单的电子电路设计方法;第四课时:进行电子电路的制作与调试实践。
三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学。
具体包括:1.讲授法:讲解频率计的基本原理和结构,电子元器件的功能和用途,以及电子电路的设计方法;2.实验法:通过实际操作,让学生掌握电子电路的制作和调试技巧;3.小组讨论法:引导学生进行团队合作,共同探讨和解决问题。
四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:《电子技术基础》;2.参考书:电子元器件手册、电子电路设计手册等;3.多媒体资料:电子电路原理图、实验操作视频等;4.实验设备:电子实验板、万用表、示波器等。
五、教学评估本课程的教学评估将采用多元化的评价方式,以全面、客观、公正地评估学生的学习成果。
简易数字频率计的设计说明
《电子技术》课程设计报告题目简易数字频率计的设计学院(部)电子与控制工程学院专业电气工程及其自动化班级3204080123学生楠学号**********06月12日至06月22日共周指导教师(签字)前言在电子测量技术中,频率是一个最基本的参量,对适应晶体振荡器、各种信号发生器、倍频和分频电路的输出信号的频率测量,广播、电视、电讯、微电子技术等现代科学领域。
因此,数字频率计是一种应用很广泛的仪器。
那么频率应该如何测量呢?根据频率的的定义我们可以知道,在一个标准一秒的时间被测信号的脉冲个数就是它的频率,我们只要测出它的大小,就可以测出信号的频率了。
数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。
而在设计中,我们常用学习软件multisim 来仿真设计,通过严格的测试后,能够较准确地测量方波、正弦波等各种常用的信号的频率。
在此次设计中我们经过网上搜索,查阅图书阅览室的有关书籍等途径,搜集了大量的资料。
经过我们对资料的分析整理,以及细心地设计,最终成功设计出了一台简易数字频率计,在我们付出了汗水之后总算是尝到了成功的甘甜。
我们的设计可能不是很完美,但是我们尽力去做了,如果有什么意见或建议,希望能多提出一些,我们会努力做到最好的。
目录摘要及设计要求 1 第一章系统概述21.1数字频率计的基本原理21.2数字频率计设计的系统框图1.3系统各部分功能论述1.3.1电源波形整形电路1.3.2分频器1.3.3待测信号放大、波形整形电路1.3.4控制门1.3.5计数器1.3.6超量程报警器1.3.7锁存器1.3.8显示译码器与数码管第二章单元电路的设计与分析第三章系统综述,总体电路图3.1 数字频率计设计原理图3.2电路的检测方法与步骤第四章总结参考文献元件明细表附图鸣收获与体会,存在的问题简易数字频率计的设计摘要数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器。
简易频率计设计(数电课设)
简易频率计设计1、设计目的综合运用数字电子技术相关知识设计具有指定用途的数字电路,学会由分立器件与集成电路组成电子电路的方法。
2、设计任务设计一简易频率计,要求如下:(1)频率测量范围:0—99Hz(2)输入电压幅度:300mv~5v(3)输入信号波形:方波、正弦波、三角波等周期信号(4)显示位数:2位3、设计要求(1)合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图;(2)选择常用的电器元件(说明电器元件选择的过程和依据);(3)对设计的电路进行仿真,验证各性能指标;(4)按照规范要求,按时提交课程设计报告,并完成答辩。
4、参考资料(l)李立主编. 电工学实验指导. 北京:高等教育出版社,2005(2)高吉祥主编. 电子技术基础实验与课程设计. 北京:电子工业出版社,2004(3)谢云等编著. 现代电子技术实践课程指导. 北京:机械工业出版社,2003目录一、设计方案的选择(原理) (3)二、电路设计计算与分析 (4)1.单元模块的设计 (4)(1)整形电路 (4)(2)时基电路 (6)(3)计数电路 (8)(4)锁存电路 (9)(5)译码显示电路 (9)2.电路中集成器件 (10)(1)555定时器 (11)(2)74HC160 (12)(3)74HC373 (13)(4)74LS48 (13)3.电路参数分析 (15)三、总结及心得 (16)四、附录: (17)五、参考文献 (19)一、设计方案的选择(原理)运用555定时器构成的多谐振荡器电路,使其产生时钟脉冲,即为有一定频率或周期的方波信号,再使用一个555定时器构成的施密特电路对待测波形进行调整,无论待测信号为方波、三角波还是正弦波都可以调成同一周期的方波信号,然后用一个与门将两个555产生的不同方波连接起来再与两个计数器连接,目的是为了当计数器在多谐震荡器输出一秒的高电平的情况下使计数器正确计数一秒内待测信号的高电平出现数目。
简易数字频率计(数字电路课程设计)
数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1H Z—9.999K H Z,闸门时间1s;10 H Z—99.99K H Z,闸门时间0.1s;100 H Z—999.9K H Z,闸门时间10ms;1 K H Z—9999K H Z,闸门时间1ms;2)显示方式:四位十进制数3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:(1)整体电路数显式频率计电路(2)单元电路设计;(a)时基电路信号号(b)放大逻辑电路信号通信号(c)计数、译码、驱动电路号(3)说明电路工作原理;四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。
两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。
当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。
当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。
其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。
时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。
计数公式:]3)2243[(443.1CRRRf++=来确定。
与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。
简单数字频率计1
《电工与电子技术基础》课程设计报告题目简易数字频率学院(部汽车学院专业汽车运用工程班级22021002学生姓名苏奋学号22021002186 月5 日至 6 月12 日共1 周指导教师(签字)一、课题名称与技术要求<1>名称:简单数字频率计摘要数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器。
它的基本功能是测量正弦信号、方波信号、三角波信号以及其他各种单位时间内变化的物理量。
本设计中使用的是直接测频法,即用计数器在计算1s内输入信号周期的个数;并使用了模拟软件Multisim进行仿真。
应用石英晶体振荡器构成稳定的多谐振荡器,并用74LS160和74LS161进行分频得到时基信号。
时基信号作为闸门信号来控制计数器74LS160工作,进行计数,通过译码显示电路在数码显示管上显示最终结果。
并且,时基信号还要通过555构成的单稳态触发器产生锁存信号和清零信号,锁存信号使输出稳定,清零信号清空计数器,为下次计数做准备。
当输入频率超过量程时,电路会自动报警。
关键字:直接测频法时基信号放大整形震荡分频计数锁存清零<2>主要技术指标和要求:1.被测信号的频率范围为100HZ~100KHZ2.输入信号为正弦信号或方波信号3.四位数码管显示所测频率,并用发光二极管表示单位4.具有超量程报警功能扩展1.被测信号的频率范围扩展到1HZ~999.9KHZ2.测量频率分为3档1HZ~9999HZ,10HZ~99.99KHZ,100HZ~999.9KHZ3.输入信号可为正弦信号、三角波信号和方波信号4.可测被测信号的周期第一章系统综述1.1总体思路对比与选择:一、总体思路:将输入信号进行放大整形之后,利用闸门信号(时基信号)对被测信号进行脉冲计数,然后通过译码显示电路进行读数。
二、实现方式:●直接计数式测频:将经过整形放大的待测信号,送入闸门信号中,在一个闸门信号周期错误!未找到引用源。
对待测信号进行计数,所得的计数值错误!未找到引用源。
数字电路频率计课设说明书.
目录1. 设计任务及主要技术指标和要求 (1)2. 总体设计方案 (1)2.1设计思路 (1)2.2设计方案和原理框图 (1)2.3方案比较 (2)3. 电路设计 (3)3.1放大整形电路 (3)3.2时基电路 (5)3.3计数译码显示电路 (8)3.4报警电路 (11)3.5闸门电路 (12)4. 组装调试 (12)5. 心得体会 (14)参考文献 (15)附录Ⅰ总电路图 (16)附录Ⅱ元件清单 (17)1.选题背景数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其功能是测量正弦信号,方波信号,尖脉冲信号以及其他各种单位时间内变化的物理量,因此已经成为电路设计的常用器件之一,它有不可取代的地位。
在电子技术中,频率与许多电参量的测量方案,测量结果都有十分密切的关系,因此频率的测量就显得更为重要。
测量频率的方法有多种,其中数字计数器测量频率具有精度高,使用方便,测量迅速,以及便于实现测量过程自动化等优点,是测量频率的重要手段之一。
1.1设计任务设计一个能够测量正弦波信号频率的电路。
具体要求如下:(1)测频范围为0~999Hz,精度为1Hz。
(2)用数码管显示测频结果。
(3)设有超量程显示(信号频率>=1KHZ时)。
发挥部分:进一步扩大频率计的测评范围,设计超量程换挡。
说明:在输入正弦波信号峰值为100mv的情况下测试2. 总体设计方案2.1 设计思路:频率,是单位时间内完成周期性变化的次数,所以我们的设计是将输入的信号进行放大整形之后输入到计数器,计算出一秒内通过的脉冲数量,然后经由译码器将对应的频率通过数码管显示出来。
2.2设计方案和原理框图:我们打算采用数电技术来完成设计,该数字频率计主要由放大整形电路、闸门电路、时基电路、计数器电路、译码显示电路几部分组成,总体结构如图:图2-1 数电频率计原理框图从原理图可知,被测信号X在不影响其频率的情况下经放大整形电路变成计数器需求的方波信号Y。
课程设计实验报告(简易频率计)
实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。
闸门只有1s 一档。
测量结果在数码管上显示出来。
不测信号脉宽。
用一片ISP芯片实现此设计,并在实验台上完成调试。
实验设计:1.产生准确闸门信号(1s)。
100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。
6.数码管高低位的接线。
VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。
电子系统课程设计——简易频率计
河南科技大学课程设计说明书课程名称_现代电子系统课程设计__题目_简易数字频率计设计_学院__电子信息工程学院____班级__学生姓名_______指导教师______日期___2011年12月16日__课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名专业班级设计题目简易数字频率计设计一、课程设计目的掌握高速AD的使用方法;掌握频率计的工作原理;掌握GW48_SOPC实验箱的使用方法;了解基于FPGA的电子系统的设计方法。
二、设计内容、技术条件和要求设计一个具有如下功能的简易频率计。
(1)基本要求:a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。
b.测量结果直接用十进制数值显示。
c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。
d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。
e.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。
(2)发挥部分a.修改设计,实现自动切换量程。
b.构思方案,使整形时,以实现扩宽被测信号的幅值范围。
三、时间进度安排布置课题和讲解:1天查阅资料、设计:4天实验:3天撰写报告:2天四、主要参考文献何小艇《电子系统设计》浙江大学出版社2008.1潘松黄继业《EDA技术实用教程》科学出版社2006.10指导教师签字:2011年11月28日摘要频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。
数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。
随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。
将使整个系统大大简化。
提高整体的性能和可靠性。
在本文中,我们设计了一个简易数字频率计。
主要分为如下几个部分:●A/D模块:用VHDL语言写一个状态机,控制ADC0809芯片正常工作,使输入的被测模拟信号经过ADC0809芯片处理,转化为数字信号。
简易数字频率计课程设计报告
简易数字频率计课程设计报告《简易数字频率计课程设计报告》一、设计目的和背景随着科技的不断发展和普及,计算机已经成为人们生活中不可或缺的一部分。
而数字频率计作为一种常见的电子测量仪器,在工业控制、电信通讯等领域有着广泛的应用。
本课程设计旨在通过设计一款简易的数字频率计,以帮助学生深入了解数字频率计的工作原理和设计方法。
二、设计内容和步骤1. 学习数字频率计的基本原理和工作方式:介绍数字频率计的基本功能、硬件组成和工作原理。
2. 设计数字频率计的主要电路:通过研究数字频率计的电路原理图,设计出适用于本设计要求的主要电路。
3. 制作数字频率计的原型:使用电子元器件将电路图中设计的电路进行实际制作,制作出数字频率计的原型。
4. 测试数字频率计的性能:通过对数字频率计进行各种频率波形的测试,验证其测量准确性和稳定性。
5. 优化和改进设计:根据测试结果和用户反馈,对数字频率计的电路和功能进行进一步优化和改进。
三、预期效果和评价标准通过本课程设计,预期学生能够掌握数字频率计的基本工作原理、主要电路设计和制作方法,并且能够针对实际需求进行优化和改进。
评价标准主要包括学生对数字频率计原理的理解程度、电路设计的准确性和创新性,以及对数字频率计性能进行测试和改进的能力。
四、开展方式和时间安排本课程设计可以结合理论学习和实践操作进行,建议分为以下几个阶段进行:1. 第一阶段(1周):学习数字频率计的基本原理和工作方式。
2. 第二阶段(1周):设计数字频率计的主要电路。
3. 第三阶段(2周):制作数字频率计的原型,并进行性能测试。
4. 第四阶段(1周):优化和改进数字频率计的设计。
总共需要约5周的时间来完成整个课程设计。
五、所需资源和设备1. 教材教辅资料:提供数字频率计的基本原理和电路设计方法的教材或教辅资料。
2. 实验设备和工具:数字频率计的主要电路所需的电子元器件、测试仪器和焊接工具等。
3. 实验环境:提供安全、稳定的实验室环境,以及必要的计算机软件支持。
电子线路课程设计之数字频率计设计
PPT 1电子线路课程设计(一)——数字频率计设计PPT 2一、课程设计的目的通过“数字频率计”设计,学习小型电子系统的设计方法。
初步掌握整机方案拟定、单元电路设计、整机电路安装、调试、性能指标测试等基本方法。
PPT 3二、设计任务设计并实现一个具有四位十进制数字显示功能的频率计。
基本要求:1、频率测量范围:1Hz ~99.99kHz2、频率测量准确度:Δfx/fx ≤∣±10-2∣3、被测信号类型及幅度:正弦波、三角波、方波,Uspp ≥0.5V 。
4、闸门时间及显示要求:1)闸门时间为10S 时,显示001.0~999.9Hz 2)闸门时间为1S 时,显示0001~9999Hz 3)闸门时间为0.1S 时,显示10.00~99.99KHzPPT 4三、设计原理1、测量频率的基本原理所谓“频率”就是周期性信号在单位时间(1S )内变化的次数。
数字频率计测频原理框图及工作波形图①②③④⑤PPT 52、数字频率计的基本组成及工作过程如图是本次所设计数字频率计的基本组成框图,它由时 基电路、脉冲形成电路、闸门电路、计数器、锁存器、 逻辑控制电路和译码显示器组成。
PPT 6工作过程:被测信号fx 经脉冲形成电路整形,变成边沿陡峭的脉冲信号,如图中①所示,其周期Tx 与被测信号的周期相同。
时基电路产生标准时间信号②,设其高电平持续时间T1=1S ,在T1时间内将闸门电路打开,使脉冲信号①通过,至计数器计数,计数器在T1=1S 时间内计得的脉冲信号①的周期数③就是被测信号的频率。
逻辑控制电路的作用有两个:一个是在计数结束时产生锁存信号④,将计数值N 存入锁存器,使显示器上的数字稳定显示。
另一个作用是锁存完成后产生清零脉冲⑤,使计数器每次从零开始计数。
这些信号之间的时序关系如图所示。
这里锁存和清零均在时间T4内完成,故测量时间T ∑= T1+T4 。
……………①②③④⑤T1T4NN锁存T2T3清零PPT 7 3、频率测量的主要技术指标(1)频率准确度数字频率计测量频率fx时的测量误差称为频率准确度,常用相对误差Δfx/fx来表示。
课程设计数字频率计
课程设计 数字频率计一、课程目标知识目标:1. 学生能理解数字频率计的基本原理,掌握其电路组成和工作方式。
2. 学生能运用数学知识,计算出数字频率计的测量范围,并解释相关计算公式。
3. 学生能运用物理知识,解释数字频率计测量频率时的误差来源。
技能目标:1. 学生能够独立完成数字频率计的搭建,并进行简单的调试和测量。
2. 学生能够运用所学知识,解决实际测量中遇到的问题,提高动手操作能力和问题解决能力。
3. 学生能够通过小组合作,进行数字频率计的优化设计和创新改进。
情感态度价值观目标:1. 学生能够认识到数字频率计在实际应用中的重要性,激发对电子技术的学习兴趣。
2. 学生通过动手实践,培养团队协作意识,增强克服困难的信心和勇气。
3. 学生能够养成严谨的科学态度,注重实验数据的准确性和实验过程的完整性。
课程性质:本课程属于电子技术实践课程,以项目式教学为主,结合理论教学和动手实践。
学生特点:学生处于八年级,具有一定的数学、物理基础和动手能力,对电子技术有一定的好奇心和兴趣。
教学要求:注重理论与实践相结合,引导学生主动探究,培养创新意识和实践能力。
在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能在课程中收获成果。
通过课程学习,使学生能够将所学知识应用于实际生活和未来学习。
二、教学内容1. 数字频率计基本原理:介绍频率计的作用,原理及其在电子测量中的应用,对应教材第3章第2节。
- 电路组成和工作方式- 频率测量方法及误差来源2. 数字频率计电路分析与搭建:分析数字频率计的电路结构,进行实际操作搭建,对应教材第3章第3节。
- 电路元件的识别与选用- 电路搭建步骤及注意事项3. 数字频率计的测量与调试:学习测量原理,进行实际测量和调试,对应教材第3章第4节。
- 测量范围计算与公式解释- 调试方法及技巧4. 数字频率计的优化与创新:针对现有频率计进行优化设计和创新改进,对应教材第3章第5节。
- 小组合作,讨论设计方案- 创新改进,提高测量精度和稳定性教学大纲安排:第1课时:数字频率计基本原理学习第2课时:数字频率计电路分析与搭建第3课时:数字频率计的测量与调试第4课时:数字频率计的优化与创新设计教学内容进度:第1-2周:学习基本原理,进行电路分析与搭建第3周:进行测量与调试,总结问题与经验第4周:优化设计与创新改进,展示成果与评价反思三、教学方法1. 讲授法:教师通过生动的语言和形象的表达,讲解数字频率计的基本原理、电路组成和测量方法,使学生系统地掌握理论知识,对应教材第3章第2-3节。
课程设计之简易数字频率计的设计
安康学院电子技术课程设计报告书课题名称:简易数字频率计的设计姓名:向XX学号:2010222XXX院系:电子与信息工程系专业:电子信息工程指导教师:张XX、吕XX时间:2012年6月课程设计项目成绩评定表设计项目成绩评定表设计报告书目录一、设计目的 (3)二、设计思路 (3)三、设计过程 (3)3.1、整体框图及原理 (3)3.2、放大整形电路 (3)3.3、闸门电路 (5)3.4、时基电路 (5)3.5、控制电路 (7)3.6、整体电路 (8)四、系统调试与结果 (9)五、主要元器件与设备 (10)六、课程设计体会与建议 (11)七、参考文献 (11)一、设计目的1、熟悉集成电路的引脚安排。
2、掌握芯片的逻辑功能及使用方法。
3、了解面包板结构及其接线方法。
4、了解简易数字频率计的组成及工作原理。
5、熟悉简易数字频率计的设计与制作。
二、设计思路1、设秒脉冲电路。
2、设计放大整形电路。
3、设计门控电路。
4、设计主控电路。
5、设计计数器和显示器三、设计过程3.1、整体框图及原理频率测量是通过在单位时间内对被测信号进行计数来实现的。
工作原理如图1所示。
图 13.2、放大整形电路对信号的放大功能由三触发器电路是一种特殊的数字器件,一般的数字电路器件当输入起过一定的阈值,其输出一种状态,当输入小于这个阈值时,转变为另一个状态,而施密特触发器不是单一的阈值,而是两个阈值,一个是高电平的阈值,输入从低电平向高电平变化时,仅当大于这个阈值时才为高电平,而从高电平向低电平变化时即使小于这个阈值,其仍看成为高电平,输出状态不这;低电平阈值具有相同的特点。
放大整形电路由三极管与与非门组成。
三极管构成的放大器将输入频率为fx 的周期信号如正弦波、三角波、等进行放大。
将电源电压设为5V ,当输入信号幅值比较大时,会出现线性失真,将放大后的波形幅度控制在5V 以内。
与非门构成施密特触发器对放大器的输出信号进行整形,使之成为矩形脉冲。
数字电子技术:简易数字频率计课程设计
数字电子技术课程设计题目:简易数字频率计学校:班级:姓名:学号:指导老师:目录1原理分析 (3)1.1晶振电路 (3)1.2分频电路 (3)1.3放大整形电路 (3)1.4控制电路...................................................................... 错误!未定义书签。
1.5 主控门 (3)1.6 计数及显示部分 (4)2方案论证 (4)2.1晶振电路 (4)2.2分频电路 (4)2.3放大整形电路 (4)2.4控制电路 (4)2.5 主控门 (4)2.6 计数及显示部分 (4)3电路的具体实现 (4)3.1晶振电路 (4)3.2分频电路 (5)3.3放大整形电路 (5)3.4控制电路 (5)3.5 主控门 (6)3.6 计数及显示部分 (6)4系统测试与分析 ................................................................. 错误!未定义书签。
4.1测试环境...................................................................... 错误!未定义书签。
4.2测试仪器 (9)4.3测试方法 (9)4.4测试数据 (9)4.5系统分析 (11)5总结 (11)参考文献 (11)附录 (11)简易数字频率计数器摘要:数字频率计是用于测量信号(方波、正弦波或其它脉冲信号)频率的仪器,并用十进制数字显示,它具有精度高,测量迅速,读数方便等优点。
关键词:频率测量、数字Abstract:Digital frequency meter is used to measure the signal (square wave, sine wave or other pulse signal) frequency of the instrument, and use the decimal figures, it has high precision measurement quickly, the advantages of easy reading.Keywords:Frequency Measurement、Digital1 、原理分析:脉冲信号的频率就是在单位时间内所产生的脉冲的个数,其表式为F=N/T,其中,F为被测信号的频率,N为计数器所累计的脉冲的个数,T为产生N 个脉冲所需要的时间。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
摘要频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。
通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。
基础时间也可以大于或小于一秒。
基础时间越长,得到的频率值就越准确,但基础时间越长则没测一次频率的间隔就越长。
基础时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。
本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。
关键词:数显、频率计、时基、protues仿真、555构成多谐振荡器简易数字频率计的设计数字频率计是直接用十进制数字来显示被测量信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波和尖端冲信号的频率,而且还可以测量它们的周期。
频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f=N/T 。
原理框图中,被测信号 Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。
时基电路提供标准时间基准信号Ⅱ,其高电平持续时间t1=1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束时闸门关闭,停止计数。
若在基础时间1S内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。
逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生“0”脉冲Ⅴ,使计数器每次测量从零开始计数。
1.电路设计方案及其论证1-1 ICM7216D 构成数字频率计电路图由ICM7216D 构成的数字频率计由ICM7216D 构成的10MHZ 频率计电路采用+5V 单电源供电。
高精度晶体振荡器和321R C C 、、构成10MHz 并联振荡电路,产生时间基准频率信号,经内部分频后产生闸门信号。
输出分别连接到相应数码显示管上。
ICM7216D 要求输入信号的高电平大于,低电平小于,脉宽大于50ns ,所以实际应用中,需要根据具体情况增加一些辅助电路。
优点:这个电路由于芯片集成度相对较高,所以电路设计较为简单,操作比较简单。
而且精确度高。
缺点:对于芯片不太熟悉,而且由于集成度太高,缺少电路设计,仿真软件中并没有这个芯片。
由于输出级需要相应的辅助电路,为电路设计带来很大麻烦。
运用单片机设计数字频率计频率计的计数和显示部分可以由单片机及其最小系统完成,将适用于计数以及显示的程序烧入单片机内,再根据时基电路、放大整形电路、倍频锁相电路一起构成频率计。
由于学过单片机相关教程,掌握一定的编程能力,所以用单片机实现数字频率计还是可行的。
优点:由于用到单片机,控制电路计数等功能通过编写程序实现,减少了相关硬件的使用,降低了成本。
而且利用C语言程序有很强的可修改性。
缺点:利用单片机需要最小系统,还需要了解最小系统,而且对于编程能力要求很高,对于初学者来说要求还是过高了。
我的电路分析数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。
它一般由放大整形电路、时基电路、逻辑控制电路、闸门电路、计数器、锁存器、译码器、显示器等几部分组成。
其基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。
通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。
基础时间也可以大于或小于一秒。
待测信号经过放大整形电路之后,输出一个与待测信号同频率的矩形脉冲信号,该信号在检测闸门经过选通信号的合成,产生计数信号。
控制脉冲经过控制器中的门电路分别产生锁存信号和计数器清零信号。
计数信号并与锁存信号和清零复位信号共同控制计数、锁存和清零三个状态,然后通过数码显示器件进行显示。
数字频率计整体框图待测频率信号由C5正极输入经过放大整形之后成为方波,由74LS00的6输出,并输入74LS390的计数器中,使74LS390正常计数。
555定时器构成多谐振荡器产生方波使得t1=1s,t2=由3输出并作为控制信号使74LS390计数一秒后停止计数。
74LS123的单稳态触发器产生锁存信号的脉冲,触发74LS273将计数器的数值输送给数码显示管,使其显示输入的频率值整体电路图如下简易数字频率计的整体电路图2单元电路的说明及其各参数的计算。
放大整形电路放大整形电路由三极管与74LS00等组成,其中三极管组成放大器将输入频率为周期信号如正弦波、三角波等进行放大。
与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。
其电路图如下放大整形电路其中由C1端输入未知频率的波,74LS00组成的施密特触发器将从3DG00放大的信号进行整形变换,得到需要的方波.74LS00功能表 74LS00管脚图123U10:A74LS00456U10:B74LS001098U10:C74LS00R310R439kR647kR71kC547uFC6100uFV C CQ2ZTX692BR1110kRV147kMODFILE=A B CDC5(1)时基电路时基电路的作用是产生一个标准时间信号(高电平持续时间是1s ),由定时器555构成的多谐震荡器产生(当标准时间的精度要求较高时,应通过晶体震荡器分频获得)。
若震荡器的频率Hz t t f 8.0)/(1210=+=,其中。
s t s t 25.0,121==。
由公式C R R t )(7.0211+=和,C R t 227.0=,可计算出电阻R1、R2及电容C 的值。
若取电容C=10uF ,则7.357.0/22==C t R k Ω 取标称值36 k Ω107)7.0/(211=-=R C t R k Ω 取1R =47 k Ω,RP =100 kΩ其电路图如下时基电路电路图附555定时器工作原理555定时器是一种模拟电路和数字电路相结合的中规模集成电路,其 管脚排列如图(A)与内部结构如图(B )所示。
(A) (B)555定时器管脚图和内部结构图它由分压器、比较器、基本R--S 触发器和放电三极管等部分组成。
分压器由三个5K 的等值电阻串联而成。
分压器为比较器1A 、2A 提供参考电压,比较器1A 的参考电压为23cc V ,加在同相输入端,比较器2A 的参考电压为13cc V ,加在反相输入端。
比较器由两个结构相同的集成运放1A 、2A 组成。
高电平触发信号加在1A 的反相输入端,与同相输入端的参考电压比较后,其结果作为基本R--S 触发器_D R 端的输入信号;低电平触发信号加在2A 的同相输入端,与反相输入端的参考电压比较后,其结果作为基本R —S 触发器_D S 端的输入信号。
基本R--S 触发器的输出状态受比较器1A 、2A 的输出端控制。
由555定时器组成的多谐振荡器如图(C)所示,其中R 1、R 2和电容C 为外接元件。
其工作波如图(D)所示。
设电容的初始电压c U =0,t =0时接通电源,由于电容电压不能突变,所以高、低触发端TH V =TL V =0<13VCC,比较器A1输出为高电平,A2输出为低电平,即_1D R =,_0D S =(1表示高电位,0表示低电位),R S -触发器置1,定时器输出01u =此时_0Q =,定时器内部放电三极管截止,电源cc V 经1R ,2R 向电容C充电,c u 逐渐升高。
当c u 上升到13cc V 时,2A 输出由0翻转为1,这时__1D D R S ==,R S -触发顺保持状态不变。
所以0<t<1t 期间,定时器输出0u 为高电平1。
555定时器构成多谐振荡器图1t t =时刻,c u 上升到23cc V ,比较器1A 的输出由1变为0,这时_0D R =,_1D S =,R S -触发器复0,定时器输出00u =。
12t t t <<期间,_1Q =,放电三极管T导通,电容C通过2R 放电。
c u 按指数规律下降,当c u <23cc V 时比较器1A 输出由0变为1,R-S触发器的_D R =_1D S =,Q的状态不变,0u 的状态仍为低电平。
2t t =时刻,c u 下降到13cc V ,比较器2A 输出由1变为0,R---S 触发器的_D R =1,_D S =0,触发器处于1,定时器输出01u =。
此时电源再次向电容C 放电,重复上述过程。
通过上述分析可知,电容充电时,定时器输出01u =,电容放电时,0u =0,电容不断地进行充、放电,输出端便获得矩形波。
多谐振荡器无外部信号输入,却能输出矩形波,其实质是将直流形式的电能变为矩形波形式的电能。
由图(D )可知,振荡周期12T T T =+。
1T 为电容充电时间,2T 为电容放电时间。
充电时间 11212()ln 20.7()T R R C R R C =+≈+ 放电时间 222ln 20.7T R C R C =≈矩形波的振荡周期121212ln 2(2)0.7(2)T T T R R C R R C =+=+≈+ 因此改变1R 、2R 和电容C 的值,便可改变矩形波的周期和频率。
逻辑控制电路根据图原理框图b所示波形,在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。
脉冲信号Ⅳ和V 可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。
设锁存信号Ⅳ和清“0”信号V的脉冲宽度相同,如果要求tw= ,则有tw==,若取Rext=10kΩ,则Cext=tw/=,取标称值,由74LSl23的功能表可得,当, 触发脉冲从 1A端输入时,在触发脉冲的负跳变作用下,输出端1Q可获得一正脉冲端,一非Q端可获得一负脉冲,其波形关系正好满足原理框图 b所示波形Ⅳ和V的要求。
手动复位开关S按下时,计数器清“ 0 ”。
其电路图如下逻辑控制电路电路图其中U1A中的A端接时基电路的输入端和由74LS00构成的闸门的一个输入,电源电压均选择为5V以得到高电平.Q端接到由74LS273的CP端.U2A的Q端接到作为开关的74LS00的一端输入.附74LS123工作原理74LS123管脚图引出端符号:C EXT1、C EXT2 外接电容端Q1、Q2/Q1、/Q2/CLR1、/CLR2 直接清除端(低电平有效)A1、A2 负触发输入端B1、B2 正触发输入端74LS123的功能表H-高电平L-低电平X-任意↑-低到高电平跳变↓-高到低电平跳变-一个高电平脉冲-一个低电平脉冲极限值锁存器锁存器的作用是将计数器在1S 结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值,如图6(b)所示,1S 计数时间结束时,逻辑控制电路发出锁存信号L,将此时计数器的值送译码显示器。