数字电路实验实验八

合集下载

实验八555时基电路及其应用

实验八555时基电路及其应用

实验⼋555时基电路及其应⽤实验⼋555时基电路及其应⽤⼀、实验⽬的1、熟悉555定时电路的结构、⼯作原理及其特点;2、掌握使⽤555定时器组成单稳态电路、多谐振荡电路和施密特电路;⼆、实验原理参考董宏伟编《数字电⼦技术实验指导书》P61。

555电路的功能表如表8—1所⽰。

表8—1 555电路的功能表555定时器主要是与电阻、电容构成充放电电路,并由两个⽐较器来检测电容器上的电压,以确定输出电平的⾼低和放电开关管的通断。

这就可以构成从⼏微秒到数⼗分钟的延时电路,⽅便地构成单稳态触发器,多谐振荡器,施密特触发器等脉冲产⽣或波形变换电路。

三、实验设备与器件 l 、万⽤表⼀只2、双踪⽰波器⼀台3、555时基IC ⼀⽚,电阻器100k Ω×1(实验箱上已配置)、可变电阻器10k Ω×1(实验箱上已配置),电阻5.1k Ω×2,电容器0.01µF ×2、100µF ×1。

四、555定时器的实验内容1、⽤555集成电路构成单稳态触发器(详细⼯作过程参考相关教材)图8—2是由555定时器和外接定时元件R 、C 构成的单稳态触发器,暂稳态的持续时间t w (即为延时时间,如图8—3所⽰)决定于外接元件R 、C 值的⼤⼩,其理论值由下式决定图8—1 555定时器引脚排列 GND ?R Dv Ov I2t W =1.1RC通过改变R 、C 的⼤⼩,可使延时时间在⼏个微秒到⼏⼗分钟之间变化。

实验步骤如下:(1)按照图8—2在图8—4中模拟连接好电路。

(2)按图8—4接好实物电路图,输⼊端v I (2脚)接实验箱的单次负脉冲发⽣源(接好后先不要按动此按钮),检查电路⽆误后,通电,⽤万⽤表测量v O (3脚)端的电压值,这是稳态时的电压,做好记录,填在表8—2中。

万⽤表继续保留图8—3单稳态电路的延迟时间vv(2/3)V图8—2单稳态触发器单次脉冲源 -5V +5V地 100µ0.01µ图8—4单稳态电路实物连接图在此位置上不要撤出。

数字电路实验报告

数字电路实验报告

数字电路实验报告姓名:张珂班级:10级8班学号:2010302540224实验一:组合逻辑电路分析一.实验用集成电路引脚图1.74LS00集成电路2.74LS20集成电路二、实验内容1、组合逻辑电路分析逻辑原理图如下:U1A 74LS00NU2B74LS00NU3C74LS00N X12.5 VJ1Key = Space J2Key = Space J3Key = Space J4Key = SpaceVCC5VGND图1.1组合逻辑电路分析电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。

真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1表1.1 组合逻辑电路分析真值表实验分析:由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。

2、密码锁问题:密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下:U1A74LS00NU2B74LS00NU3C 74LS00NU4D 74LS00NU5D 74LS00NU6A74LS00N U7A74LS00NU8A74LS20D GNDVCC5VJ1Key = SpaceJ2Key = SpaceJ3Key = SpaceJ4Key = SpaceVCC5VX12.5 VX22.5 V图 2 密码锁电路分析实验真值表记录如下:实验真值表 A B CD X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 11 10 1表1.2 密码锁电路分析真值表实验分析:由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。

数字电子技术基础实验-8选1数据选择器74LS151

数字电子技术基础实验-8选1数据选择器74LS151

数字电⼦技术基础实验-8选1数据选择器74LS1518选1数据选择器74LS151简介74LS151是⼀种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输⼊端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。

74LS151引脚图选择控制端(地址端)为C~A,按⼆进制译码,从8个输⼊数据D0~D7中,选择⼀个需要的数据送到输出端Y,G为使能端,低电平有效。

(1)使能端G=1时,不论C~A状态如何,均⽆输出(Y=0,W=1),多路开关被禁⽌。

(2)使能端G=0时,多路开关正常⼯作,根据地址码C、B、A的状态选择D0~D7中某⼀个通道的数据输送到输出端Y。

如:CBA=000,则选择D0数据到输出端,即Y=D0。

如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。

74LS151功能表数据选择器的应⽤数据选择器除实现有选择的传送数据外,还有其他⽤途,下⾯介绍⼏种典型应⽤。

(1)逻辑函数产⽣器从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输⼊数据D0~D7的与或函数。

式中mi是C、B、A构成的最⼩项。

显然。

当Di=1时,其对应的最⼩项mi在与或表达式中出现,当Di=0时,对应的最⼩项就不出现。

利⽤这⼀点,不难实现组合逻辑函数。

已知逻辑函数,利⽤数据选择器构成函数产⽣器的过程是,将函数变换成最⼩项表达式,根据最⼩项表达式确定各数据输⼊端的⼆元常量。

将数据选择器的地址信号C、B、A作为函数的输⼊变量,数据输⼊D0~D7,作为控制信号,控制各最⼩项在输出逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为⼀个3变量的函数产⽣器。

例1 试⽤8选1数据选择器74LS151产⽣逻辑函数解:把式变换成最⼩项表达式:显然D3、D5、D6、D7,都应该等于1,⽽式中没有出现的最⼩项m0,m1,m2,m4的控制变量D0、D1、D2、D4都应该等于0,由此可画出该逻辑函数产⽣器的逻辑图:、例2 试⽤与上例相同的8选1数据选择器产⽣从表中可以看出,凡使L值为1的那些最⼩项,其控制变量应该等于1,即D1、D2、D4、D7等于1(对应XYZ:001、010、100、111),其他控制变量均等于0。

实验八 积分运算电路实验

实验八 积分运算电路实验

4、设计电路 、
集成运算放大器采用 CF741。 积分时间均为T/2。 。 如果所用运放的 Uomax=10V, ,
元器件的选取
RC ≥

E t Uomax
RC = 0.5ms
≥10kΩ, 确定元件参数 为满足输入电阻RI≥10kΩ, =10kΩ,则积分电容为: 取电阻R=10kΩ,则积分电容为:
分电路的设计及调试方法, 会简单积 分电路的设计及调试方法,了解引起积分 器运算误差的因素,初步掌握减小误差的方法。 器运算误差的因素,初步掌握减小误差的方法。
2、 设计题目 、
设计一个积分运算电路,用以将方波变换成 设计一个积分运算电路, 三角波。已知输入方波的幅值为 , 三角波。已知输入方波的幅值为2V,周期为 1ms,输入电阻RI≥10k 。
Ui=2V f= 500Hz f= 1000Hz f= 5000Hz
Uo的理论值
约-4V
约-2V
约-400mV
Uo波形
Uo峰值
输入输出波形图( 输入输出波形图(示波器上用双通道观察 输入方波和输出的三角波) 输入方波和输出的三角波)
输入方波
输出三角波 反相积分
2.2 方波输出调节说明
1 快键
表1:Rf=100k ,R=10k :
Ui=2V Uo的理论值 f = 500Hz 约-4V
(输出幅度与频率的关系 输出幅度与频率的关系) 输出幅度与频率的关系
f= 1000Hz 约-2V
f= 5000Hz 约-400mV
Uo波形 Uo峰值
的作用) 表2:Rf=∞, R=10k (Rf的作用 : ,
rfr10k?rf的作用输入输出波形图示波器上用双通道观察输入方波和输出的三角波输入输出波形图示波器上用双通道观察输入方波和输出的三角波输入方波输出三角波反相积分输出三角波反相积分22方波输出调节说明1快键

数字电子技术实验报告

数字电子技术实验报告

数字电子技术实验报告
一、实验目的:
1. 掌握TTL 逻辑门电路的主要参数意义
2. 掌握TTL 逻辑门电路主要参数以及测量方法
3. 通过与非门实现与门、或门、异或门。

二、实验设备;
1. 数字电路实验箱
2. 74LS00
3. 函数发生器、示波器
三、实验原理;
1. 实验室所用电路板中配备有与非门,可以通过各种逻辑运算,从而利用与非门实现
与门、或门、异或门等逻辑门电路。

2. Y=A ·B=1••B A ,从公式可以看出,可以将AB 与1接入与非门的两个输入端(输入1的端口悬空即可)。

3. B A B A Y •=+=,从公式可以看出可以将A 和1接入一个非门(2步骤中已经
实现非门),从而得到A ,同理可以得到B ,然后将A 和B 接入与非门的两个输入端,就可得到Y 。

4. Y=A B ⊗=))((B A B A ++=))((B A AB =))((B A AB 。

5. 取信号A 为方波,峰峰值是5V ,偏移量为2.5V ,频率为1000Hz ,B 取为逻辑开关。

四、实验结果图
2. 或门
B
A
& 1 &
3.
当B=0时,Y=A B ⊗=A 当B=1时,Y=A B ⊗=A
B 1 & A & 1
&
A
1
B
1
& B & & A &
&。

电路实验教材

电路实验教材

电路实验教材1实验八门电路逻辑功能及测试[实验目的]1熟悉门电路逻辑功能。

2了解数字电路实验模块及示波器的使用方法。

[实验仪器及材料]1双踪示波器2集成芯片74LS00二输入端四与非门2片74LS20四输入端双与非门1片74LS86二输入端四异或门1片74LS04六反相器1片[实验内容]选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。

线接好后经实验指导教师检查无误方可通电实验。

实验中改动接线须先断开电源,接好线后再通电实验。

1测试门电路逻辑功能双四输入与非门74LS20一只,按图8.1接线、输S1~S4电平开关,输出插口),出端接电平显示发(D1~D8任意一个)图8.1(2)将电平开关按表8.1置位,分别测输出电压及逻辑状态。

表8.1输入输出1234Y电压(V)HHHHLHHH(1)选用入端接2LLHH3LLLHLLLL2.异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图8.2接线,输入端1、2、4、5接电平开关,输出端A、B、Y接电平显示发光二极管。

(2)将电平开关按表8.2置位,将结果填入表中。

表8.2输入输出ABYY电压(V)LLHLHHHHHHLHLLLLLLHLHHLH3逻辑电路的逻辑关系(1)用74LS00按图8.3,8.4接线,将输入输出逻辑关系分别填入表8.3和表8.4中。

图8.34表8.3输入输出ABYLLLHHLHH图8.4表8.4输入输出ABYZLLLHHLHH(2)写出上面两个电路逻辑表达式。

4逻辑门传输延迟时间的测量。

用六反相器(非门)按图8.5接线,输入200KHZ连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd值。

55利用与非门控制输出。

用一片74LS00按图8.6接线,S接任一电平开关,用示波器观察S对输出脉冲的控制作用。

6用与非门组成其它门电路并测试验证。

(1)组成或非门。

用一片二输入端四与非门组成或非门画出电路图,测试并填表8.5表8.5输入输出ABY00011011表8.6ABY000110_n_n_SBSKHz图8.5j-Ln_图8.66(2)组成异或门(a)将异或门表达式转化为与非门表达式。

《数字电路》实验报告

《数字电路》实验报告

《数字电路》实验报告项目一逻辑状态测试笔的制作一、项目描述本项目制作的逻辑状态测试笔,由集成门电路芯片74HC00、发光二极管、电阻等元器件组成,项目相关知识点有:基本逻辑运算、基本门电路、集成逻辑门电路等;技能训练有:集成逻辑二、项目要求用集成门电路74HC00制作简易逻辑状态测试笔。

要求测试逻辑高电平时,红色发光二极管亮,测试逻辑低电平时绿色发光二极管亮。

三、原理框图四、主要部分的实现方案当测试探针A测得高电平时,VD1导通,三级管V发射级输出高电平,经G1反相后,输出低电平,发光二级管LED1导通发红光。

又因VD2截止,相当于G1输入端开路,呈高电平,输出低电平,G3输出高电平,绿色发光二级管LED2截止而不发光。

五、实验过程中遇到的问题及解决方法(1)LED灯不能亮:检查硬件电路有无接错;LED有无接反;LED有无烧坏。

(2)不能产生中断或中断效果:检查硬件电路有无接错;程序中有无中断入口或中断子程序。

(3)输入电压没有反应:数据原理图有没有连接正确,检查显示部分电路有无接错;4011逻辑门的输入端有无浮空。

六、心得体会第一次做的数字逻辑试验是逻辑状态测试笔,那时什么都还不太了解,听老师讲解完了之后也还不知道从何下手,看到前面的人都起先着手做了,心里很焦急可就是毫无头绪。

老师说要复制一些文件协助我们做试验(例如:试验报告模板、试验操作步骤、引脚等与试验有关的文件),还让我们先画原理图。

这时,关于试验要做什么心里才有了一个模糊的框架。

看到别人在拷贝文件自己又没有U盘只好等着借别人的用,当然在等的时候我也画完了逻辑测试笔的实操图。

后面几次都没有过,但最后真的发觉试验的次数多了,娴熟了,知道自己要做的是什么,明确了目标,了解了方向,其实也没有想象中那么困难。

七、元器件一逻辑状态测试笔电路八、附实物图项目二多数表决器电路设计与制作一、项目描述本项目是以组合逻辑电路的设计方法,用基本门电路的组合来完成具有多数表决功能的电路。

《数字电子技术》实验指导书

《数字电子技术》实验指导书

数字电子技术实验指导书电气与电子工程学院实验一门电路逻辑功能及测试一、实验目的1. 熟悉门电路逻辑功能2. 熟悉数字电路实验仪及示波器使用方法二、实验仪器及材料1. 双踪示波器2. 器件74LS00 二输入端四与非门 2片74LS20 四输入端双与非门 1片74LS86 二输入端四异或门 1 片三、实验内容1.测试门电路逻辑功能(1).选用双四输入与非门74LS20一只,插入14P锁& 紧插座上按图1.1接线、输入端接K1-K16(电平开关输出插口),输出端接电平显示发光二极管(L1-L16任意一个)(2).将电平开关按表1.1置位,分别测输出电压及逻辑状态。

表 1.1输出输出1 2 4 5 Y 电压(V)H H H HL H H HL L H HL L L HL L L L2.异或门逻辑功能测试(1).选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接电平开关,输出端A、B、Y接电平显示发光二极管。

(2).将电平开关按表1.2置位拨动,将输出结果填入表中。

表 1.2输入输出A B Y Y电压L L L LH L L LH H L LH H H LH H H HL H L H3、逻辑电路的逻辑关系(1).用74LS00、按图1.3,1.4接线,将输入输出逻辑关系分别填入表1.3、表1.4中,表1.3输入输出A B YL LL HH LH H表1.4输入输出A B Y ZL LL HH LH H(2).写出上面两个电路逻辑表达式。

五、实验报告1.按各步骤要求填表并画逻辑图。

2.回答问题:(1)怎样判断门电路逻辑功能是否正常?(2)与非门一个输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过?(3)异或门又称可控反相门,为什么?实验二组合逻辑电路(半加器、全加器)一、实验目的1.掌握组合逻辑电路的功能测试。

2.验证半加器和全加器的逻辑功能。

3.学会二进制数的运算规律。

西工大数电实验八-数码管显示控制电路设计

西工大数电实验八-数码管显示控制电路设计

实验八:数码管显示控制电路设计一、设计任务与要求:能自动循环显示数字0、1、2、3、4、0、3、0、3、4。

二、实验设备:1、数字电路实验箱;2、函数信号发生器;3、8421数码管;4、74LS00、74LS90。

三、实验原理图和实验结果:1、逻辑电路设计及实验原理推导:将0、1、2、3、4、0、3、0、3、4用8421码表示出来,如下表:表一用8421码表示设想用5421码来实现8421码表示的0、1、2、3、4、0、3、0、3、4,故将0、1、2、3、4、5、6、7、8、9用5421码表示出来以与上表做对比:表二 用5421码表示:观察表一,首先可得到最高位全为0,故译码器的“8”直接接低电平即可;对比表一和表二得,“4”位上的数字两表表示的数字是一样的,故“4”直接与5421码的“4”输出相连即可,即译码器的“4”连74LS90的“Q 3”端;数码管的“2”对应的无明显规律,列卡诺图如下:可得F2=1020Q Q Q Q ;最后一位与5421的“1”相同,故74LS90的Q1直接接数码管的“1”。

至此,实验原理图即可画出了.2、实验原理图:3、实验结果:编码器上依次显示0、1、2、3、4、0、3、0、3、4。

四、实验结果分析:实验结果为编码器上依次显示0、1、2、3、4、0、3、0、3、4,满足实验设计要求。

五、实验心得:在实验之前我用仿真软件,使用同样的实验器件仿真了序列0、1、2、3、4、1、3、0、2、4,已经把利用74LS90产生序列的原理掌握了,所以在实验时老师布置了本次的实验目的之后,我很快的设计出了如何连接电路,但是实验过程却没有想象的那么简单,实验电路板和仿真软件毕竟不同,实验中可能出现插线不紧或者松动的现象,函数发生器的相关参数的设置,偏移量的设置等等问题都会出现。

这就给实验的进行造成了很大的麻烦,查了几遍连线完全没有错误,但就是出不来想要的序列,最后重新安了一遍线,保证插线完好,并用了输出比较稳定的函数发生器产生序列,终于调出来了。

数字电路实验(八)

数字电路实验(八)

实验八触发器及其应用一、实验目的1、掌握基本RS、JK、D和T触发器的逻辑功能2、掌握集成触发器的逻辑功能及使用方法3、熟悉触发器之间相互转换的方法二、实验原理触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。

1、基本RS触发器图8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。

基本RS触发器具有置“0”、置“1”和“保持”三种功能。

通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此种情况发生,表9-1为基本RS触发器的功能表。

基本RS触发器。

也可以用两个“或非门”组成,此时为高电平触发有效。

2、JK触发器在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。

本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。

引脚功能及逻辑符号如图8-2所示。

JK触发器的状态方程为Q n+1=J Q n+K Q nJ和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成“与”的关系。

Q与Q为两个互补输出端。

通常把 Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。

图8-2 74LS112双JK触发器引脚排列及逻辑符号下降沿触发JK触发器的功能如表8-2表8-2注:×— 任意态 ↓— 高到低电平跳变 ↑— 低到高电平跳变Q n (Q n )— 现态 Q n+1(Q n+1 )— 次态 φ— 不定态 JK 触发器常被用作缓冲存储器,移位寄存器和计数器。

3、D 触发器在输入信号为单端的情况下,D 触发器用起来最为方便,其状态方程为 Q n+1=D n,其输出状态的更新发生在CP 脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D 端的状态,D 触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。

实验八_8421码检测电路的设计

实验八_8421码检测电路的设计

实验八_8421码检测电路的设计设计思路:实验八的任务是设计一个8421码检测电路,该电路能够检测输入的4位二进制数是否为8421码,并输出相应的验证结果。

8421码是指将0-9的十进制数转换成的4位二进制数,其中每一位分别代表8、4、2和1的权重。

为了设计这个8421码检测电路,我们可以采用逻辑门电路和寄存器电路的组合。

具体设计过程如下:1.首先,我们需要一个四输入与门电路,用于检测四个输入位是否都为1、如果四个输入位都为1,则说明输入的四位二进制数是8421码之一,否则不是。

2.根据数字电路的组合逻辑原理,我们可以采用四个与门的组合电路来实现四输入与门。

将四个输入位分别与与门的输入端相连,并将四个与门的输出端分别与一个二输入或门的输入端相连。

这样配置之后,当且仅当四个输入位都为1时,才会使得与门的输出端为1,从而使得或门的输出端为1,表示输入的四位二进制数是8421码之一3.接下来,我们需要一个二选一复用器电路,用于根据输入的四位二进制数的值选择相应的输出。

由于8421码是将0-9的十进制数转换而来的,我们可以利用二选一复用器的原理,将输入的四位二进制数的四个位分别作为复用器的两个输入端,而将复用器的控制端接地,以实现根据输入的四位二进制数的值选择相应的输出。

4.在设计中,我们需要使用四个切换电路,用于分别表示四个输入位。

我们可以选择四个SPDT(单刀双掷)切换开关。

将四个切换开关的切换引脚分别与四个输入位相连,将切换开关的输出端与逻辑门电路和复用器电路的输入端相连,即可实现输入的四位二进制数的切换和选择。

5.最后,我们需要一个数码管来表示验证结果。

根据实验要求,当输入的四位二进制数是8421码之一时,数码管显示“Y”,表示验证通过;否则,数码管显示“N”,表示验证失败。

我们可以使用一个BCD-7段数码管,将其七个显示段分别与复用器电路的输出端相连,将数码管的输入端接VCC电源。

通过以上设计,我们可以实现一个8421码检测电路。

数字电路实验 电子节拍器 VHDL源代码

数字电路实验 电子节拍器 VHDL源代码

八、源代码及注释--电子节拍器--分频器组模块dividers源代码--分频器组模块通过对50MHz的外部时钟进行分频,--产生其他模块所需的各种时钟频率并输出,--有60Hz、5000Hz、3000Hz、1500Hz、1000Hz,分别用5个进程实现。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dividers is --定义实体dividersport(clk : in std_logic; --外部时钟输入clk_out60: out std_logic; --60Hz频率输出clk_out5000 : out std_logic; --5000Hz频率输出clk_out3000 : out std_logic; --3000Hz频率输出clk_out1500 : out std_logic; --1500Hz频率输出clk_out1000 : out std_logic); --1000Hz频率输出end dividers;architecture div of dividers issignal tmp5000 : integer range 0 to 4999; --分频得5000hz 的计数器大小signal tmp3000 : integer range 0 to 8332; --3000hz 3x10^9=50x10^6x60 use 3000hz signal tmp1500 : integer range 0 to 16665; --1500hzsignal tmp1000 : integer range 0 to 49999; --1000hzsignal tmp60 : integer range 0 to 416666; --60hzsignal clktmp5000 : std_logic; --分频得5000hz 的时钟信号signal clktmp3000 : std_logic;signal clktmp1500 : std_logic;signal clktmp1000 : std_logic;signal clktmp60 : std_logic;beginp5000: process(clk) --分频得5000hz 的分频器进程beginif clk'event and clk='1' then --对50MHz进行10000分频得5000hz 的频率if tmp5000=4999 then --达到5000hz 的计数器大小时归零tmp5000<=0;clktmp5000<=not clktmp5000; --5000hz 的时钟信号翻转一次elsetmp5000<=tmp5000+1; ----未达到5000hz 的计数器模值时计数器加一end if;end if;end process p5000; --分频得5000hz 的分频器进程结束p1500: process(clk) --以下各分频器原理同上beginif clk'event and clk='1' thenif tmp1500=16665 thentmp1500<=0;clktmp1500<=not clktmp1500;elsetmp1500<=tmp1500+1;end if;end if;end process p1500;p1000: process(clk)beginif clk'event and clk='1' thenif tmp1000=49999 thentmp1000<=0;clktmp1000<=not clktmp1000;elsetmp1000<=tmp1000+1;end if;end if;end process p1000;p3000: process(clk)beginif clk'event and clk='1' thenif tmp3000=8332 thentmp3000<=0;clktmp3000<=not clktmp3000;elsetmp3000<=tmp3000+1;end if;end if;end process p3000;p60: process(clk)beginif clk'event and clk='1' thenif tmp60=416666 thentmp60<=0;clktmp60<=not clktmp60;elsetmp60<=tmp60+1;end if;end if;end process p60;clk_out5000 <= clktmp5000; --输出分频得到的5000hz 频率clk_out3000 <= clktmp3000;clk_out1500 <= clktmp1500;clk_out1000 <= clktmp1000;clk_out60 <= clktmp60;end div; ———————————————————————————————————————--电子节拍器--速度设置模块set_speed源代码--速度设置模块实现速度在40至120内连续可调library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity set_speed isport(clk60 : in std_logic; --分频器60hz时钟输入vadd : in std_logic; --加按键输入vdec : in std_logic; --减按键输入clear : in std_logic; --置位键输入beat_v : out std_logic_vector(6 downto 0); --速度输出v_out0 : out std_logic_vector(3 downto 0); --速度个位二进制输出(对应0号数码管)v_out1 : out std_logic_vector(3 downto 0); --速度十位二进制输出(对应1号数码管)v_out2 : out std_logic_vector(3 downto 0)); --速度百位二进制输出(对应2号数码管)end set_speed;architecture set of set_speed issignal cn : integer range 40 to 120; --速度记录信号signal change_a : std_logic; --加按键输入按下记录信号signal change_d : std_logic; --减按键输入按下记录信号signal count_add : integer:=0; --加按键输入按下时长记录信号signal count_dec : integer:=0; --减按键输入按下时长记录信号signal a10 : integer range 0 to 99; --速度减去百位的数的记录信号signal a0 : integer range 0 to 9; --速度个位记录信号signal a1 : integer range 0 to 9; --速度十位记录信号signal a2 : integer range 0 to 1; --速度百位记录信号beginprocess(clk60,vadd,vdec)beginif clk60'event and clk60='1' thenif (vadd='1') then --若加键按下change_a<='1'; --加按键输入按下记录信号置1count_add<=count_add+1; --加按键输入按下时长记录信号加1if (count_add>60 and cn<=115) then --加按键输入按下时长记录信号大于60--即加按键按下时长大于1s(长按)--若速度小于115cn<=cn+5; --则速度连加5count_add<=count_add-60; --同时加按键输入按下时长记录信号减60 elsif (count_add>60 and cn>115) then --若速度大于115cn<=120; --速度只能增加到设置的上限120count_add<=0; --加按键输入按下时长记录信号置0change_a<='0'; --加按键输入按下记录信号置0end if;elsif (vdec='1') then --原理同加按键change_d<='1';count_dec<=count_dec+1;if (count_dec>60 and cn>=45) thencn<=cn-5;count_dec<=count_dec-60;elsif (count_dec>60 and cn<45) thencn<=40;count_dec<=0;change_d<='0';end if;elsif(vadd='0' and vdec='0') then --检测到无键按下时if (change_a='1' and count_add<60 and cn<120 ) then --若加键按下--且加按键输入按下时长记录信号小于60(短按)--且速度小于120cn<=cn+1; --则速度加1count_add<=0;change_a<='0';elsif (change_a='1' and count_add>=60 ) then --若加键长按count_add<=0;change_a<='0';if (cn<=115 ) then --原理同上cn<=cn+5;elsif (cn>115 ) thencn<=120;end if;elsif (change_d='1' and count_dec<60 and cn>40 ) then --原理同加按键cn<=cn-1;count_dec<=0;change_d<='0';elsif (change_d='1' and count_dec>=60 ) thencount_dec<=0;change_d<='0';if (cn>=45 ) thencn<=cn-5;elsif (cn<45 ) thencn<=40;end if;end if;end if;if clear ='1' then --实现置位功能cn<=80;count_add<=0;count_dec<=0;end if;if cn>=100 thena2<=1;a10<=cn-100;elsea2<=0;a10<=cn;end if;a1<= a10/10;a0<= a10-a1*10;end if;end process;v_out2<=conv_std_logic_vector(a2,4); --将速度的百位(十进制)转换为二进制后输出v_out1<=conv_std_logic_vector(a1,4);v_out0<=conv_std_logic_vector(a0,4);beat_v<=conv_std_logic_vector(cn,7); --将速度(十进制)转换为二进制后输出end set;--电子节拍器--节拍型选择模块set_rhythm源代码--节拍型选择模块可设置的节拍有1/4、2/4、3/4、4/4、3/8、6/8可选,--通过sel 按键选择,送到2个数码管显示,并把选好的节拍型输出到相关模块。

数字电路实验指导书

数字电路实验指导书

数字电路实验指导书江汉大学计科系计算机硬件教研室目录一实验的一般程序二实验台介绍三实验一逻辑门功能验证及应用电路实验四实验二组合电路功能验证及应用电路实验五实验三触发器功能验证及应用电路实验六实验四时序电路功能验证及应用电路实验七实验五串行加法器的设计八实验六汽车尾灯控制器的设计九实验七数字马表的设计十实验八数字密码锁电路的设计一.实验的一般程序数字电路是计算机专业的基础课之一,它的实践性较强。

通过实验,旨在巩固,加深和开拓课堂教学的内容,使学生加深理解数字系统(计算机系统是最常见的数字系统之一)基本组件的逻辑组成及其工作原理,掌握各基本组件的设计和调试方法,提高实践能力,逐步培养学生独立分析和解决问题的能力。

实验的一般程序可分为准备阶段,布线阶段,调试阶段以及实验完毕后书写实验报告等。

1准备阶段实验前做好充分的准备是必须的和有益的,每个实验者在实验前必须对实验目的,要求,内容,及其相关理论知识认真了解,做到心中有数,完成预习报告,预习报告是实验操作的依据。

预习报告没有固定的书写格式,只要实验者看懂就可以了。

一般要尽可能写得简洁,思路清楚,重点突出,一目了然。

其内容主要是画出实验所用的逻辑电路图和布线图,并附以简要的文字说明或注释,记录数据所用的表格,以及主要的注意事项。

2.布线阶段在布线前,必须校准集成电路组件两排引脚的距离,使之与实验台的插孔距相吻合,将集成电路组件插入时,用力要轻,均匀,开始不要插得太紧,待确定集成电路组件的引脚和插孔位置一致后,再用力将其插牢。

这样可避免集成电路组件引脚弯曲或折断。

布线最好有顺序地进行,不要随意接线,以免漏接。

布线时应首先将电源地线以及实验过程中始终不改变电平的输入端接好,然后接信号流向顺序依次布线。

布线时可考虑用不同颜色导线以区别不同信号,这样便于观察与察错。

布线用的导线不宜太长,且应尽量避免导线相互重叠,跨越集成电路组件的上空以及无规则的交错连接在空中搭成网状等现象。

级《数字逻辑电路》实验指导书

级《数字逻辑电路》实验指导书

课程名称:数字逻辑电路实验指导书课时:8学时集成电路芯片一、简介数字电路实验中所用到的集成芯片都是双列直插式的,其引脚排列规则如图1-1所示。

识别方法是:正对集成电路型号<如74LS20)或看标记<左边的缺口或小圆点标记),从左下角开始按逆时针方向以1,2,3,…依次排列到最后一脚<在左上角)。

在标准形TTL集成电路中,电源端V一般排在左上CC,7脚为端,接地端GND一般排在右下端。

如74LS20为14脚芯片,14脚为VCCGND。

若集成芯片引脚上的功能标号为NC,则表示该引脚为空脚,与内部电路不连接。

二、TTL集成电路使用规则1、接插集成块时,要认清定位标记,不得插反。

2、电源电压使用范围为+4.5V~+5.5V之间,实验中要求使用Vcc=+5V。

电源极性绝对不允许接错。

3、闲置输入端处理方法(1> 悬空,相当于正逻辑“1”,对于一般小规模集成电路的数据输入端,实验时允许悬空处理。

但易受外界干扰,导致电路的逻辑功能不正常。

因此,对于接有长线的输入端,中规模以上的集成电路和使用集成电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。

<也可以串入一只1~10KΩ的固定电阻)或接至某一 (2> 直接接电源电压VCC固定电压(+2.4≤V≤4.5V>的电源上,或与输入端为接地的多余与非门的输出端相接。

(3> 若前级驱动能力允许,可以与使用的输入端并联。

4、输入端通过电阻接地,电阻值的大小将直接影响电路所处的状态。

当R ≤680Ω时,输入端相当于逻辑“0”;当R≥4.7 KΩ时,输入端相当于逻辑“1”。

对于不同系列的器件,要求的阻值不同。

5、输出端不允许并联使用<集电极开路门(OC>和三态输出门电路(3S>除外)。

否则不仅会使电路逻辑功能混乱,并会导致器件损坏。

6、输出端不允许直接接地或直接接+5V电源,否则将损坏器件,有时为,一般取R 了使后级电路获得较高的输出电平,允许输出端通过电阻R接至Vcc=3~5.1 KΩ。

第7章 8 实验八 测量电源的电动势和内阻(一)

第7章 8 实验八  测量电源的电动势和内阻(一)

第8课时实验八测量电源的电动势和内阻(一)读基础知识基础回顾:注意事项1.可选用旧电池:为了使电路的路端电压变化明显,电池的内阻宜大些,可选用已使用过一段时间的1号干电池。

2.电流不要过大,读数要快:干电池在大电流放电时,电动势E 会明显下降,内阻r 会明显增大。

因此,实验中不要将I 调得过大,读电表要快,每次读完立即断电。

3.计算法求E 、r :要测出不少于6组I 、U 数据,且变化范围要大些,用方程组求解时,要将测出的I 、U 数据中,第1和第4为一组、第2和第5为一组、第3和第6为一组,分别解出E 、r 值再求平均值。

4.合理选择标度:为使图线分布空间大,如图1所示,纵坐标可以不从零开始,则图线和横轴的交点不再是短路电流,电源的内阻不能用r =EI 短确定,应根据r =|ΔUΔI |确定。

误差分析1.用图象法求E和r时作图不准确。

2.由于电流表或电压表的分压或分流存在系统误差。

•本实验中测量结果是:E测<E真,r测<r真。

研考纲考题要点1教材原型实验【例1】利用如图所示的实验装置测量两节干电池组成的电源的电动势和内电阻.(1)请在图中用笔画线代替导线完成电路连接.(2)在实验操作正确的情况下测得数据记录在下表中,请在图4中作出U-I图象.U/V 2.94 2.86 2.81 2.76 2.71 2.62I/A0.060.120.180.240.300.38(3)根据U-I图象,可得该电池组的电动势E=________V,内电阻r=________Ω.(结果均保留两位有效数字)(4)本实验存在系统误差,原因是________(选填“①电压表分流”或“②电流表分压”前面的序号),由此造成电源内阻测量值________(选填“大于”“小于”或“等于”)真实值.答案(1)见解析图(2)见解析图(3)3.0 1.0(4)①小于解析(1)测电源的电动势和内电阻,电流表应相对电源外接,实物连接图如图甲所示:(2)在U -I 坐标系内,描点连线,如图乙所示:(3)由U =E -Ir 可知,图象在纵轴的截距等于电源电动势,斜率的绝对值等于内阻.故E =3.0V ,r =1.0Ω.(4)本实验存在系统误差,原因是电压表分流,使得电流表示数小于干路电流;外电路短路时,电压表分流为零,实际电流等于电流表电流,电压越大,电压表分流越多,实际电流大于电流表电流越多,图象斜率的绝对值将越大,因此电源内阻测量值小于真实值.【训练1】在“测电源电动势和内阻”的实验中,某实验小组同学根据图甲电路进行测量实验.(1)根据图甲电路,在图乙中用笔画线代替导线,完成实物电路的连接.(2)实验小组同学操作正确,记录下几组电压表和电流表的示数,并在坐标系内作出电压表示数U 和对应的电流表示数I 的图象,如图(a)所示.由图象可测得E 测和r 测,则E 测____E 真,r 测______r 真(均选填“>”“=”或“<”).(3)为了减小系统误差,同学们又根据图(b)电路进行测量.同样作出U -I 图象,如图(c)所示.经过讨论发现,利用图(a)和图(c)可以消除系统误差得出电源的电动势和内阻的真实值,则E 真=________,r 真=________.答案(1)见解析图(2)<<(3)U BU BI A解析(1)测量电源的电动势和内阻时,电流表采用内接法,滑动变阻器采用限流式接法,实物连线如图:(2)当采用电流表内接法时,误差原因为电压表分流导致电流表测量的总电流偏小,可把电压表与电源看成一个等效电源,根据闭合电路欧姆定律可知E 测=E 真·R V R V +r 真<E 真,r 测=R V ·r 真R V +r 真<r 真,即电动势和内阻的测量值均小于真实值.(3)当采用电流表外接法时,误差原因为电流表分压导致电压表测量外电压偏小,可把电流表与电源看成一个等效电源,E 测=E 真,r 测=r 真+R A >r 真,综合两种接法的误差可知电流表的外接法电动势是准确的,E真=U B ,而电流表内接法时若电压表示数为零,代表外电路短路,由题图(a)可知真实的短路电流为I A =E 真r 真,可得r 真=U BI A.要点2实验原理与实验操作【例2】小明利用如图所示的实验装置测量一干电池的电动势和内阻。

八位加法器设计实验报告

八位加法器设计实验报告

八位加法器设计实验报告实验名称:八位加法器设计实验一、实验目的:1.了解数字电路中加法器的基本原理。

2.学习八位加法器的设计和实现方法。

3.掌握八位加法器的工作过程和输出结果。

二、实验器材:数字电路实验箱、电源线、逻辑门芯片(2个8位加法器芯片、1个与门芯片、1个或门芯片)、导线、电压表,显示器。

三、实验原理:四、具体步骤:1.搭建实验电路。

将两个8位加法器芯片、一个与门芯片、一个或门芯片分别插入数字实验箱中,并使用导线连接它们。

将A和B分别连接到8位加法器芯片的A和B输入端,将进位输入端Cin接地。

然后将两个八位加法器芯片的S0-S7依次连接到特定点,作为低位数;再将与门芯片的S仅连接到A口或B口上的特定点,或门芯片的S仅连接到A口上的特定点;然后将A、B、Cin的高位输入引脚接到与门芯片的输入端上;最后将八位加法器芯片的Cout引脚接到特定点,作为进位输出;将与门芯片和或门芯片的输出引脚接到显示器上。

2.进行实验。

给定任意两个8位操作数A和B,将它们输入到加法器中,并设置进位输入端Cin为0。

观察显示器上的运算结果。

3.分析实验结果。

根据实验数据和观察结果,分析八位加法器的工作过程和输出结果,研究其工作原理。

5.总结实验。

根据实验结果和分析,总结设计和实现八位加法器的方法,并讨论可能存在的问题和改进方法。

五、注意事项:1.在搭建实验电路之前,仔细检查电路连接是否准确、导线是否插紧。

2.在实验过程中,注意实验安全,注意观察显示器上的运算结果,及时记录实验数据。

3.实验结束后,将电源关闭,清理整理实验场地,将实验器材归位。

六、实验结果:S0=1,S1=1,S2=0,S3=0,S4=0,S5=0,S6=1,S7=1,Cout=1七、实验总结:通过本次实验,我学习了数字电路中加法器的基本原理,掌握了八位加法器的设计和实现方法,了解了八位加法器的工作过程和输出结果。

我通过实际搭建电路、输入操作数并设置进位输入,观察了八位加法器的运算结果,并根据实验结果进行了分析和总结。

数字电子技术实验指导

数字电子技术实验指导

实验一. 数字逻辑电路仪器仪表的使用与脉冲信号的测量一.实验目的1.学会数字电路实验装置的使用方法2.学会双综示波器的使用方法3.掌握脉冲信号的测量方法二. 预习要求1.认真阅读(数字电路实验须知)2.阅读数字逻辑电路实验常用基本仪器仪表的使用方法3.熟悉脉冲信号的参数三.主要仪器仪表、材料数字逻辑电路实验装置、双踪示波器、数字万用表、74LS04四.实验内容及步骤1.脉冲信号周期和幅值的测量将双综示波器的Y1输入连接1KHz、0.5V的测试方波信号,Y1置0.1V档、Y2置0.2V档。

调整示波器相应的开关和旋钮,在示波器上显示出稳定的Y1、Y2两路信号。

分别用示波器的0.1ms、0.5ms、1ms时间档测量及记录波形,填表1-1表1-11.直流电平测量(1)用示波器Y1输入端连接数字逻辑电路实验装置的逻辑电平,分别用0.5V、1V、2V、5V幅度档测量并记录,填表1-2表1-2(2) 用示波器Y1输入端连接数字逻辑电路实验装置的单脉冲,1V幅度档测量并记录,填表1-3。

表1-3(3) 用数字万用表的5V直流电压档分别测量并记录数字逻辑电路实验装置的单脉冲、逻辑电平信号,填表1-4。

表1-41.逻辑门电路传输延时时间t pd 的测量用反相器接图1,输入1MHz 方波信号,用双综示波器测试电路输入信号、输出信号的相位差,计算每个门的平均传输延时时间t pd 。

Vi Vo五.实验报告要求 1、实验目的2、实验仪器、仪表、材料3、电路原理图、制作测试数据表、画出波形图等4、回答问题:简述示波器和数字逻辑电路实验装置的功能和使用方法。

实验二.门电路逻辑功能及测试一.实验目的1.掌握门电路逻辑功能及测试方法2.熟悉数字电路实验装置的使用方法3.熟悉双踪示波器的使用方法 二.预习要求1.复习门电路工作原理及相应的逻辑表达式2.熟悉所用集成电路的引线位置及各引线用途3.了解双踪示波器和数字电路实验装置 三.实验仪器及材料1.数字电路实验装置2.双踪示波器3.数字万用表4.器件:74LS00 74LS86 74LS04 四.实验内容及步骤1.TTL 与非门逻辑功能测试(1)将74LS00插入面包板,按图1-1接线,输入端A 、B 接S1、S2电平开关的输入插口,输出端Y 接电平显示LED 的输入插口。

数字电路实验的实验报告(3篇)

数字电路实验的实验报告(3篇)

第1篇一、实验目的1. 理解和掌握数字电路的基本原理和组成。

2. 熟悉数字电路实验设备和仪器的基本操作。

3. 培养实际动手能力和解决问题的能力。

4. 提高对数字电路设计和调试的实践能力。

二、实验器材1. 数字电路实验箱一台2. 74LS00若干3. 74LS74若干4. 74LS138若干5. 74LS20若干6. 74LS32若干7. 电阻、电容、二极管等元器件若干8. 万用表、示波器等实验仪器三、实验内容1. 基本门电路实验(1)验证与非门、或非门、异或门等基本逻辑门的功能。

(2)设计简单的组合逻辑电路,如全加器、译码器等。

2. 触发器实验(1)验证D触发器、JK触发器、T触发器等基本触发器的功能。

(2)设计简单的时序逻辑电路,如计数器、分频器等。

3. 组合逻辑电路实验(1)设计一个简单的组合逻辑电路,如4位二进制加法器。

(2)分析电路的输入输出关系,验证电路的正确性。

4. 时序逻辑电路实验(1)设计一个简单的时序逻辑电路,如3位二进制计数器。

(2)分析电路的输入输出关系,验证电路的正确性。

5. 数字电路仿真实验(1)利用Multisim等仿真软件,设计并仿真上述实验电路。

(2)对比实际实验结果和仿真结果,分析误差原因。

四、实验步骤1. 实验前准备(1)熟悉实验内容和要求。

(2)了解实验器材的性能和操作方法。

(3)准备好实验报告所需的表格和图纸。

2. 基本门电路实验(1)搭建与非门、或非门、异或门等基本逻辑电路。

(2)使用万用表测试电路的输入输出关系,验证电路的功能。

(3)记录实验数据,分析实验结果。

3. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发电路。

(2)使用示波器观察触发器的输出波形,验证电路的功能。

(3)记录实验数据,分析实验结果。

4. 组合逻辑电路实验(1)设计4位二进制加法器电路。

(2)搭建电路,使用万用表测试电路的输入输出关系,验证电路的正确性。

(3)记录实验数据,分析实验结果。

电路实验报告(8篇)

电路实验报告(8篇)

电路实验报告(8篇)电路实验报告(8篇)电路实验报告1一、实验题目利用类实现阶梯型电阻电路计算二、实验目的利用类改造试验三种构造的计算程序,实现类的封装。

通过这种改造理解类实现数据和功能封装的作用,掌握类的设计与编程。

三、实验原理程序要求用户输入的电势差和电阻总数,并且验证数据的有效性:电势差必须大于0,电阻总数必须大于0小于等于100的偶数。

再要求用户输入每个电阻的电阻值,并且验证电阻值的有效性:必须大于零。

此功能是由类CLadderNetwork的InputParameter ()函数实现的。

且该函数对输入的数据进行临界判断,若所输入数据不满足要求,要重新输入,直到满足要求为止。

本实验构造了两个类,一个CResistance类,封装了电阻的属性和操作,和一个CLadderNetwork类,封装了阶梯型电阻电路的属性和操作。

用户输入的电势差、电阻总数、电阻值,并赋给CladderNetwork的数据,此功能是由类CLadderNetwork的InputParameter 函数实现的。

输出用户输入的电势差、电阻总数、电阻值,以便检查,,此功能是由类CLadderNetwork的PrintEveryPart()函数实现的。

根据用户输入的电势差、电阻总数、电阻值换算出每个电阻上的电压和电流。

此功能是由类CLadderNetwork的Calculate ()函数实现的。

最后输出每个电阻上的电压和电流,此功能是由类CLadderNetwork 的PrintResult()函数实现的'。

此程序很好的体现了面向对象编程的技术:封装性:类的方法和属性都集成在了对象当中。

继承性:可以继承使用已经封装好的类,也可以直接引用。

多态性:本实验未使用到多态性。

安全性:对重要数据不能直接操作,保证数据的安全性。

以下是各个类的说明:class CResistance //电阻类private:double voltage;double resistance;double current;public:void InitParameter(); //初始化数据void SetResist(double r); //设置resistance的值void SetCur(double cur); //设置current的值void SetVol(double vol); //设置voltage的值void CalculateCurrent(); //由电阻的电压和电阻求电流double GetResist(){return resistance;} //获得resistance的值保证数据的安全性double GetCur(){return current;} //获得current的值double GetVol(){return voltage;} //获得voltage的值class CResistance //电阻类{private:CResistance resists[MAX_NUM]; //电阻数组int num;double srcPotential;public:void InitParameter(); //初始化数据void InputParameter(); //输入数据void Calculate(); //计算void PrintEveryPart(); //显示输入的数据以便检查void PrintResult(); //显示结果四、实验结果程序开始界面:错误输入-1(不能小于0)错误输入0 (不能为0)输入正确数据3输入错误数据-1输入错误数据0输入正确数据4同样给电阻输入数据也必须是正数现在一次输入2,2,1,1得到正确结果。

实验八 时序逻辑电路设计实验

实验八 时序逻辑电路设计实验

实验八时序逻辑电路设计实验一、实验概述本实验是使用74LS74双D触发器构成一个扭环形计数器,以及使用74LS112双JK触发器构成三进制加法计数器。

二、实验目的1、掌握简单的时序电路的设计方法2、掌握简单时序电路的调试方法三、实验预习要求1、查找74LS74、74LS112、74LS00芯片引脚图,并熟悉引脚功能2、复习教材中异步2n进制计数器构成方法及同步2n进制计数器构成方法的内容3、复习同步时序电路和异步时序电路的设计方法4、设计画出用74LS74构成异步四进制减法计数器的逻辑电路图5、设计画出用74LS112构成同步四进制加法计数器的逻辑电路图四、实验原理时序逻辑电路是数字逻辑电路的重要组成部分,时序逻辑电路又称时序电路,主要由存储电路和组合逻辑电路两部分组成。

它和我们熟悉的其他电路不同,其在任何一个时刻的输出状态由当时的输入信号和电路原来的状态共同决定,而它的状态主要是由存储电路来记忆和表示的。

同时时序逻辑电路在结构以及功能上的特殊性,相较其他种类的数字逻辑电路而言,往往具有难度大、电路复杂并且应用范围广的特点。

时序逻辑电路通常可以分为同步时序逻辑电路和异步时序逻辑电路两大类。

同步时序逻辑电路从构成方式来讲,同步时序电路所有操作都是在同一时钟严格的控制下步调一致地完成的。

从电路行为上,同步电路的时序电路公用同一个时钟,而所有的时钟变化都是在时钟的上升沿(或下降沿)完成的。

同步逻辑是时钟之间存在固定因果关系的逻辑,所有时序逻辑都是在同源时钟控制下运行。

注意,在用Verilog HDL实现时,并不要求是同一时钟,而是同源时钟。

所谓的同源时钟是指同一个时钟源衍生频率比值为2的幂次方,且初相位相同的时钟。

异步时序逻辑电路异步时序逻辑电路,顾名思义就是电路的工作节奏不一致,不存在单一的主控时钟,主要是用于产生地址译码七、FIFO和异步RAM的读写控制信号脉冲。

除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件;电路状态改变完全有外部输入的变化直接引起。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

学生实验报告
一、实验目的和任务
1.熟悉555型集成时基电路的电路结构、工作原理及其特点。

2.掌握555型集成时基电路的基本应用。

二、实验原理介绍
555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。

该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、变换、控制与检测。

它的内部电压标准使用了三个5K的电阻,故取名555电路。

其电路类型有双极型和CMOS型两大类,两者的工作原理和结构相似。

几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。

555和7555是单定时器,556和7556是双定时器。

双极型的电压是+5V~+15V,最大负载电流可达200mA,CMOS型的电源电压是+3V~+18V,最大负载电流在4mA以下。

图8-1 555定时器内部框图
1、555电路的工作原理
555电路的内部电路方框图如图8-1所示。

它含有两个电压比较器,一个基本RS触发器,一个放电开关Td,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使低电平比较器Vr1反相输入端和高电平比较器Vr2的同相输入端的参考电平为2/3VCC和1/3VCC。

Vr1和Vr2的输出端控制RS触发器状态和放电管开关状态。

当输入信号输入并超过2/3VCC时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于1/3VCC时,触发器置位,555的3脚输出高电平,同时充电,开关管截止。

R是异步置零端,当其为0时,555输出低电平。

平时该端开路或接VCC。

Vro是控制电压D
端(5脚),平时输出2/3VCC作为比较器Vr1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。

Td为放电管,当Td导通时,将给接于脚7的电容器提供低阻放电电路。

2、555定时器的典型应用
(1)构成单稳态触发器
图8-2 555构成单稳态触发器
图8-3 单稳态触发器波形图
上图8-2为由555定时器和外接定时元件R、C构成的单稳态触发器。

D为钳位二极管,稳态
时555电路输入端处于电源电平,内部放电开关管T导通,输出端V o输出低电平,当有一个外部负脉冲触发信号加到Vi端。

并使2端电位瞬时低于1/3VCC,单稳态电路即开始一个稳态过程,电容C开始充电,Vc按指数规律增长。

当Vc充电到2/3VCC时,输出V o从高电平返回低电平,放电开关管Td重新导通,电容C上的电荷很快经放电开关管放电,暂态结束,恢复稳定,为下个触发脉冲的来到作好准备。

波形图见图8-3。

暂稳态的持续时间Tw(即为延时时间)决定于外接元件R、C的大小,即Tw=1.1RC。

通过改变R、C的大小,可使延时时间在几个微秒和几十分钟之间变化。

当这种单稳态电路作为计时器时,可直接驱动小型继电器,并可采用复位端接地的方法来终止暂态,重新计时。

(2)构成多谐振荡器
如图8-4,由555定时器和外接元件R1、R2、C构成多谐振荡器,脚2与脚6直接相连。

电路没有稳态,仅存在两个暂稳态,电路亦不需要外接触发信号,利用电源通过R1、R2向C充电,以及C通过R2向放电端DC放电,使电路产生振荡。

电容C在2/3VCC和1/3VCC之间充电和放电,从而在输出端得到一系列的矩形波,对应的波形如图20-5所示。

输出信号的时间参数是:T=t W1+t W2
t W1=0.7(R1+R2)C
t W2=0.7R2C
其中,t w1为V C由1/3V CC上升到2/3V CC所需的时间,t w2为电容C放电所需的时间。

555电路要求R1与R2均应不小于1KΩ,但两者之和应不大于3.3MΩ。

外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。

因此,这种形式的多谐振荡器应用很广。

图8-4 555构成多谐振荡器图8-5 多谐振荡器波形图(3)组成占空比可调的多谐振荡器
电路如图8-6,它比图8-4电路增加了一个电位器和两个二极管。

D1、D2用来决定电容充、放电电流流经电阻的途径(充电时D1导通,D2截止;放电时D2导通,D1截止)。

占空比
111
1222
0.7()
0.7()
w W
w w W
t R R C q
t t R R C
+
=≈
++
图8-6 555构成占空比可调的多谐振荡器
可见,若取R1=R2,电路即可输出占空比为50℅的方波信号。

(4)组成占空比连续可调并能调节振荡频率的多谐振荡器
图8-7 555构成占空比、频率均可调的多谐振荡器
对C1充电时,充电电流通过R1、D1、RW2和RW1,放电时通过RW1、RW2、D2、R2。

当R1=R2、RW2调至中心点时,因为充放电时间基本相等,其占空比约为50℅,此时调节RW1仅改变频率,占空比不变。

如RW2调至偏离中心点,再调节RW1,不仅振荡频率改变,而且对占空比也有影响。

RW1不变,调节RW2,仅改变占空比,对频率无影响。

因此,当接通电源后,应首先调节RW1使频率至规定值,再调节RW2,以获得需要的占空比。

(5)组成施密特触发器
电路如图8-8所示,只要将脚2和6连在一起作为信号输入端,即得到施密特触发器。

图8-9画出了VS、Vi和V o的波形图。

设被整形变换的电压为正弦波VS,其正半波通过二极管D同时加到555定时器的2脚和六脚,得到的Vi为半波整流波形。

当Vi上升到2/3VCC时,V o从高电平转换为低电平;当Vi下降到1/3VCC 时,V o又从低电平转换为高电平。

回差电压:△V=2/3 VCC-1/3 VCC=1/3 VCC
图8-8 555构成多谐振荡器图8-9 多谐振荡器波形图
三、实验设备与器材
1.数字逻辑电路实验箱。

2.数字万用表,双踪示波器,频率计。

3.芯片NE555。

4.二极管1N4148,三极管3DG6、电阻,电容,电位器若干,扬声器。

四、实验内容实验步骤
使用实验箱中的硬件资源在电路板上搭建电路实现如下内容:
1、单稳态触发器
(1)按图8-2连线,取R=100K,C=47uf,输出接LED电平指示器。

输入信号Vi由单次脉冲源提供,用双踪示波器观测Vi,Vc,V o波形。

测定幅度与暂稳态时间。

(2)将R改为1K,C改为0.1uf,输入端加1KHz的连续脉冲,观测Vi,Vc,V o波形。

测定幅度与暂稳态时间。

2、多谐振荡器
(1)按图8-4接线,用双踪示波器观测Vc与V o的波形,测定频率。

(2)按图8-6接线,Rw选用10K电位器。

组成占空比为50℅的方波信号发生器。

观测Vc、V o波形。

测定波形参数。

(3)按图8-7接线,C1选用0.1uf。

通过调节RW1和RW2来观测输出波形。

3、施密特触发器
按图8-8接线,输入信号的音频信号由正弦信号模拟,预先调好Vi的频率为1KHz,幅度要求稍大于5V(不要过大)。

接通电源,观测输出波形,测绘电压传输特性,算出回差电压△U。

4、多频振荡器实例-双音报警电路
电路图如下:
分析它的工作原理及报警声特点。

(1)观察并记录输出波形,同时试听报警声。

(2)若将前一级的低频信号输出加到后一级的控制电压端5,报警声将会如何变化?试分析工作原理。

五、实验数据、计算及分析
1.绘出详细的实验线路图,定量绘出观测到的波形。

2.分析、总结实验结果。

3.绘出每个谐振电路充放电的等效电路图。

4.按实验要求选定各电路参数,并进行理论计算输出脉冲的宽度和频率。

参数测量值理论值
(T=T1+T2)
R2 C U0 T T
3K 0.01uf 5.52V 53.6us 63us
3K 0.047uf 5.36V 222us 296.1us
15K 0.01uf 5.08V 192us 231us
六、实验结论与心得
通过实验,我熟悉了555型集成时基电路的电路结构、工作原理及其特点;同时掌握了555型集成时基电路的基本应用。

相关文档
最新文档