简易波形发生器设计报告
简易波形发生器设计
根据设计要求,可以考虑四种波形切换,用两个开关的四种状态来实现。需要两根口线,如果用按钮来切换波形就只需要一根I/O线,而且使用也方便一些。另外,波形频率的改变是通过电位器输入电压来实现的,所以需要一个模拟量输入,选用常用的A/D转换器芯片0809可以满足要求。波形输出是通过D/A转换器实现的,可以选用D/A专用芯片0832来完成。这样系统的主要器件就确定了。其系统原理图如图1所示。
DB 1,2,5,10,15,21,29,37,47,57,67,79,90,103,115,128
2.三角波
三角波的产生较为简单,因为它的上升沿遵循数据加1的规律。下降沿则按数据减1的规律产生。所以在波形的上升沿只要判断上一次的数据是否为最大值FFH,如果不是最大值,将原数据加1输出;而在波形的下降沿只要判断上一次数据是否为0,如果不是0,则将原数据减1即可,当数据为FFH或0时,应当及时调整升降标志,以便下一次能输出正确的数据。根据上述编程思想绘制的三角波程序框图如图3所示。
为了将这六个数顺次输出,可以采用列表或将原数加50再判断这两种方式。采用后者输出数据的阶梯波程序框图如图4所示。
5.频率控制
每种波形输出一个数据后程序都转到程序控制部分,各种波形的频率就是通过这一部分控制的。它的控制原理是首先读出0809的A/D转换值,并以此为基值延时,延时完毕后再启动0809开始采样模拟电压,为下一次读数做准备。当然,也可以隔几秒钟进行一次A/D转换,这样要用到定时器中断。若直接将A/D转换值作为延时基数去延时,则频率的变换范围有限。若将A/D转换值乘以一个倍率再去延时,虽然可扩大频率的变化范围,但波形的失真会明显增大。
1.2 设计的内容、要求
设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。
简易波形发生器的设计
XXXX学院课程设计报告课程名称:单片机课程设计院系:电气与信息工程学院专业班级:自动化09102班学生姓名: X X指导教师: X X X完成时间: 2012年6月10日报告成绩:简易波形发生器简易波形发生器是一种常用的信号源,它广泛地应用在电子技术实验、自动控制系统和其他科研领域。
本系统能够准确产生方波、正弦波、锯齿波及三角波。
基于数模转换芯片DAC0832技术的简易波形发生器由六个部分组成:MCU模块、波形发生模块、静态LED 数码管显示模块、键盘输入模块、在线下载模块以及电源模块。
MCU模块采用STC89C51RC 单片机进行数据处理,波形发生模块采用DAC0832及LM324进行波形发生及变换,静态LED数码管显示模块利用3位八段共阳极数码管及3个74LS164显示当前波形频率,键盘模块采取外部中断方式扫描键值,在线下载模块选用MAX232芯片进行单片机程序下载,电源模块使用三端稳压器为系统提供能源。
运用Altium Designer软件绘制了单元电路以及总体电路图,借助Proteus仿真软件对电路进行了虚拟实验,通过仿真分析,满足了课题性能指标的要求,成功地实现了简易波形发生器的设计。
关键词波形发生器;DAC0832;STC89C51RC;静态显示Simple waveform generator is a common source, it is widely used in the experiment of electronic technology, automatic control system and other scientific fields. The system can accurately produce a square wave, sine wave, sawtooth wave and triangle wave. Based on the digital-analog conversion chip DAC0832 simple waveform generator consists of six parts: MCU module, waveform generator module, static LED digital display module, keyboard input module, the download module and power supply. The MCU STC89C51RC microcontroller is for data processing. The waveform generation module which made of DAC0832 and LM324 is used to generate waveform and transform. The static LED digital display module uses three eight out common anode digital and three 74LS164 to show the current waveform frequency. The keyboard module to take external interrupt the scan key. Download module use a MAX232 chip microcontroller program download. The power supply uses three-terminal regulator to provide energy for the system. Altium Designer were used to draw a unit circuit as well as the overall circuit. With Proteus simulation software to conduct virtual experiments on the circuit, simulation analysis, to meet the requirements of the subject of performance indicators, the successful implementation of a simple waveform generator design.Keywords waveform generator ;DAC0832;STC89C51RC; static LED digital display目录摘要 (I)Abstract (II)第一章简易波形发生器的方案设计 (1)1.1简易波形发生器的方案分析与比较 (1)1.1.1 基于数模转换芯片DAC0832的简易波形发生器的设计 (1)1.1.2 基于MAX038函数发生器的简易波形发生器的设计 (1)1.1.3 基于DDS波形发生技术的简易波形发生器的设计 (2)1.2 简易波形发生器的总体结构说明 (2)第二章简易波形发生器的电路设计 (3)2.1 MCU模块 (3)2.1.1 STC89C51RC单片机 (3)2.1.2 复位电路 (5)2.1.3 时钟电路 (5)2.2 DAC0832模块 (5)2.2.1 DAC0832芯片基本介绍 (6)2.2.2 DAC0832波形发生电路 (7)2.3 静态LED数码管显示模块 (7)2.3.1 移位寄存器74LS164 (7)2.3.2 静态显示电路 (8)2.4 键盘输入模块 (8)2.5 在线下载模块 (9)2.6 电源模块 (9)2.7 总体电路说明 (9)第三章简易波形发生器的程序设计 (10)3.1系统接口定义 (10)3.2 主程序 (10)3.3 外部总中断1中断服务程序 (11)第四章简易波形发生器仿真分析 (13)4.1初始界面 (13)4.2 波形发生仿真 (13)4.2.1 正弦波的仿真分析 (13)4.2.2 锯齿波发生仿真分析 (14)4.2.3 三角波发生仿真分析 (15)4.2.4 方波发生仿真分析 (17)总结 (19)参考文献 (20)致谢 (21)附录1:简易波形发生器原理图 (22)附录2:简易波形发生器Protues仿真图 (23)附录3:简易波形发生器元器件明细表 (24)附录4:简易波形发生器源程序 (25)第一章 简易波形发生器的方案设计简易波形发生器是一种常用的信号源,它广泛地应用在电子技术实验、自动控制系统和其他科研领域。
简易波形发生器
简易波形发生器一、实验目的1.掌握DAC0832和ADC0809的应用和编程方法。
2.熟悉几种典型波形的产生方法。
二、实验内容与要求利用微机实验平台编程实现一个波形发生器,可以产生正弦波、方波、三角波等各种波形,频率和幅度均可调。
1.基本要求(1)具有产生正弦波、方波、三角波三种周期性波形的功能。
(2)输出波形的频率范围为100Hz~1kHz,步进为100Hz。
(3)输出波形幅度范围1~5V(峰-峰值),可按步进1V(峰-峰值)调整。
(4)通过ADC0809采样DAC0832的输出,在屏幕上画出图形。
示波器查看波形发生器的输出和屏幕上的图形比较。
2.提高要求(1)增加输出波形的类型。
(2)扩展输出波形频率范围。
(3)减少幅度范围的步进量。
三、实验报告要求1.设计目的和内容2.总体设计3.硬件设计:原理图(接线图)及简要说明4.软件设计框图及程序清单5.设计结果和体会(包括遇到的问题及解决的方法)四、总体设计本次设计结合D/A和A/D转换,用键盘输入来选择DAC0832的输出波形,再通过ADC0809采集后在PC机上以图形方式显示。
实验主要利用实验箱上的DAC0832 、ADC0809和8253等硬件电路和PC机资源。
设计要求该波形发生器能产生正弦波、方波、三角波等形状的波形,频率和幅度可调。
不同的波形主要是由输入DAC0832的不同规律的数据,所以在软件设计是主要是构造各种波形的数据表格。
方波只需要控制输出高低电平的时间,三角波的表格可以由数字量的增减来控制,产生正弦波关于构造一个正弦函数数值表,通过查该函数表来实现波形的输出。
波形的频率控制是通过对输出数据的时间间隔控制。
幅度是通过改变输出数据的大小来控制的。
为了程序实现方便,可以把每种波形的数据表构造好,再统一查表来实现。
硬件由于采用了PC机的资源和微机实验平台,不用外加其他的电路,比较简单。
将微机系统里面的中断、8253、 DAC0832以及ADC0832的电路弄清楚,通过相应的跳线就可以完成电路的设计。
波形发生器设计报告
摘要(1)纯硬件设计波形发生器:采用运算放大器加分立元件来实现。
(2)实验的目的:能够产生正弦波、方波和三角波 (3)工作原理:主要是通过波形 转换形成三种波形①通过RC 振荡器(文氏电桥振荡器)产生正弦波,在实验的过程当中,可以加入负反馈稳幅支路,以此保证波形不出现明显的失真。
②正弦波通过滞回比较器产生方波;③方波通过一个积分器产生三角波。
即将滞回比较器与一个积分器首尾相接形成正反馈闭环系统,这样,经上一级输出的方波经由积分器积分可得到三角波。
(4)模拟方案实现框图正弦波 方波 三角波最终设计成的波形放大器能够对三种波形的幅值、频率进行简单的调节,并且实现相位的可调功能。
关键词:函数波形发生器;RC 桥式正弦波振荡电路;滞回比较器;积分器RC 桥式正弦波 振荡电路滞回比较器积分器Abstract(1) waveform generator: pure hardware design using operational amplifier with discrete component.(2) experimental objective: can produce sine wave, square wave and triangular wave(3) working principle: mainly through waveform transformation form three types of waveformsA through the RC oscillator wien bridge oscillator) generate sine wave, the process of the experiment, add feedback stability of branch, so that doesn't appear obvious distortion of waveform.B sine wave through a hysteresis comparator generate square wave;C square wave generated by an integrator triangle wave. The hysteresis comparator and an integrator head-tail form positive feedback closed-loop system, so that the output of square wave at the next higher level via the integrator integral triangle wave can be obtained.(4) to simulate the implementation schemeSine square wave, triangle waveFinal design into the waveform amplifier to three kinds of waveform amplitude, frequency, simple adjustment, and the implementation phase of the adjustable function.Key words: function waveform generator; RC bridge sine wave oscillator circuit;Hysteresis comparator; integrator目录第一章RC桥式正弦振荡电路 (4)1.1 RC桥式正弦振荡电路的介绍 (4)1.1.1RC桥式正弦振荡电路的应用与原理 (5)第二章滞回比较器............................................................... (6)2.1 滞回比较器 (6)第三章方波和三角波发生器 (7)3.1方波和三角波发生器 (7)3.1.1电路的实现 (8)3.1.1.1 电路软件仿真效果 (9)参考文献 (10)附录 (10)第一章RC桥式正弦振荡电路1.1 RC桥式正弦振荡电路的介绍RC桥式正弦振荡电路如图1所示。
波形发生器课程设计报告
1.设计题目:波形发生电路2.设计任务和要求:要求:设计并用分立元件和集成运算放大器制作能产生方波和三角波波形的波形发生器。
基本指标:输出频率分别为:102H Z、103H Z;输出电压峰峰值V PP≥20V3.整体电路设计1)信号发生器:信号发生器又称信号源或振荡器。
按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。
各种波形曲线均可以用三角函数方程式来表示,如三角波、锯齿波、矩形波(含方波)、正弦波。
通过模拟电子技术设计的波形发生器是一个不需要外加输入信号,靠自身振荡产生信号的电路。
2)电路设计:整体电路由RC振荡电路,反相输入的滞回比较器和积分电路组成。
理由:a)矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分;b)产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈;c)输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。
RC振荡电路:即作为延迟环节,又作为反馈电路,通过RC充放电实现输出状态的自动转换。
反相输入的滞回比较器:矩形波产生的重要组成部分。
积分电路:将方波变为三角波。
3)整体电路框图:为实现方波,三角波的输出,先通过RC振荡电路,反相输入的滞回比较器得到方波,方波的输出,是三角波的输入信号。
三角波进入积分电路,得出的波形为所求的三角波。
其电路的整体电路框图如图1所示:图14)单元电路设计及元器件选择a)方波产生电路根据本实验的设计电路产生振荡,通过RC电路和滞回比较器时将产生幅值约为12V的方波,因为稳压管选择1N4742A(约12V)。
电压比较电路用于比较模拟输入电压与设定参考电压的大小关系,比较的结果决定输出是高电平还是低电平。
滞回比较器主要用来将信号与零电位进行比较,以决定输出电压。
图3为一种滞回电压比较器电路,双稳压管用于输出电压限幅,R 3起限流作用,R 2和R 1构成正反馈,运算放大器当u p >u n 时工作在正饱和区,而当u n >u p 时工作在负饱和区。
波形发生器实验报告(1)
波形发生器实验报告(1)波形发生器实验报告一、实验目的本实验的目的是通过使用示波器和电子电路来调制和产生不同的波形。
二、实验仪器与器材示波器、经过校准的函数发生器、万用表。
三、实验原理函数发生器是一种电子电路,可以产生不同类型的波形,例如正弦波、方波、三角波等。
为了实现这些波形,函数发生器中需要使用不同的电路元件。
例如,产生正弦波需要使用振荡电路,而产生方波需要使用比较器电路。
函数发生器的输出信号通过示波器来显示和测量。
四、实验步骤1.连接电路:将电源线连接到函数发生器和示波器上。
2.打开电源:按照设备说明书的步骤打开函数发生器和示波器的电源。
3.调节函数发生器:使用函数发生器的控制按钮来选择所需的波形类型,并调节频率和振幅。
使用示波器来观察和测量所产生的波形。
4.调节示波器:使用示波器的控制按钮来调整波形的亮度、对比度、扫描速度等参数,以达到最佳观测效果。
5.记录实验结果:记录所产生的不同波形类型、频率和振幅,并观察和记录示波器的显示结果。
五、实验结果通过本实验,我们成功地产生了正弦波、方波和三角波等不同的波形,并观察了这些波形的频率和振幅。
示波器的显示结果非常清晰,可以直观地观察到波形的特征和参数。
我们还对示波器的参数进行了调整,以获得最佳的观测效果。
六、实验结论本实验通过使用示波器和函数发生器,成功地产生了不同类型的波形,并观察了波形的特征和参数。
这些波形可以应用于各种电子电路实验中,并且需要根据具体应用要求进行调整和优化。
示波器是一种非常重要的测试仪器,可以直接观察和测量电路中的波形和信号特性,因此应用广泛。
模电实验波形发生器实验报告
模电实验波形发生器实验报告模电实验波形发生器实验报告实验名称:模拟电路波形发生器设计与制作实验目的:1.了解正弦波、方波、三角波等基本波形的特性及产生方法;2.掌握模拟电路的基本设计方法和制作技巧;3.加深对电路中各元件的认识和使用方法;4.提高实际操作能力和动手能力。
实验原理:波形发生器是一种模拟电路,在信号发生领域具有广泛的应用。
常见的波形发生器包括正弦波发生器、方波发生器、三角波发生器等。
正弦波发生器:正弦波发生器是一种周期性信号发生器,通过正弦波振荡电路产生高精度的正弦波信号。
常见的正弦波振荡电路有RC,LC和晶体振荡管等。
我们使用的正弦波发生器为Wien桥电路。
方波发生器:方波发生器属于非线性信号发生器,根据输入信号的不同,可以分为单稳态脉冲发生器、双稳态脉冲发生器和多谐振荡器等。
我们使用的方波发生器为双稳态脉冲发生器。
三角波发生器:三角波发生器是一种周期信号发生器,通过将一个线性变化的信号幅度反向后输入到一个比例放大电路中,就可以得到三角波信号。
我们使用的三角波发生器为斜率发生器。
实验步骤:1.按照电路原理图连接电路;2.打开电源,调节电压并测量电压值;3.调节电位器,观察波形在示波器上的变化;4.分别测量各波形的频率和幅值,并记录实验数据;5.将实验结果进行比较分析。
重点技术:1.电路连接技巧;2.相关工具的正确使用方法;3.电路元器件的选择和使用;4.测量和计算实验数据的方法。
注意事项:1.实验中使用电源时应注意电压值和电流值,避免短路和电源过载现象的发生;2.连接电路时应注意电路的接线和连接端子的位置,避免短路和错误连接的情况;3.在实验中应注意对电路元器件的选择和使用,确保电路的正常工作;4.测量和计算实验数据时应认真仔细,避免计算错误和实验数据异常的情况。
实验结论:通过本次实验,我们成功设计和制作了正弦波发生器、方波发生器和三角波发生器。
在实验过程中,我们掌握了模拟电路的基本设计方法和制作技巧,加深了对电路中各元件的认识和使用方法,并提高了实际操作能力和动手能力。
波形发生器实验报告 模电波形发生器实验报告
波形发生器实验报告模电波形发生器实验报告精品文档,仅供参考波形发生器实验报告模电波形发生器实验报告实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。
应用写作给出的定义如下科技实验报告是描述、记录某个科研课题过程和结果的一种科技应用文体。
下面是本站为大家带来的[波形发生器实验报告],希望能帮助到大家!波形发生器实验报告第一部分设计内容一、任务利用运算放大器设计并制作一台信号发生器,能产生正弦波、方波、三角波、锯齿波等信号,其系统框图如图所示。
二、要求1不使用单片机,实现以下功能:(1)至少能产生正弦波、方波、三角波、锯齿波四种周期性波形;在示波器上可以清晰地看清楚每种波形。
20分(2)输出信号的频率可通过按钮调节;(范围越大越好)20分(3)输出信号的幅度可通过按钮调节;(范围越大越好)20分(4)输出信号波形无明显失真;10分(5)稳压电源自制。
10分(6)其他2种扩展功能。
20分信号发生器系统框图第二部分方案比较与论证方案一、以555芯片为核心,分别产生方波,三角波,锯齿波,正弦波电路配置如图1所示图1此方案较简单,但是产生的频率不够大最后输出正弦波时,信号受干扰大。
方案二由简单的分立元件产生,可以利用晶体管、LC振荡回路,积分电路的实现方波三角波,正弦波的产生。
此方案原理简单但是调试复杂,受干扰也严重。
方案三、采用集成运放如(LM324)搭建RC文氏正弦振荡器产生正弦波,正弦波的频率,幅度均可调,再将产生的正弦波经过过零比较器,实现方波的输出,再由方波到三角波和锯齿波。
此方案电路简单,在集成运放的作用下,可以较容易的测到所需的波形。
通过调整参数可以得到较完美的波形。
实际设计过程采用方案三,基本原理如图2所示基本设计原理框图(图2)第三部分:电路原理及电路设计电路的构成:1、正弦波采用RC桥式振荡器(如图3), RC 串并联网络是正反馈网络,Rf 和R1为负反馈网络。
简易波形发生器设计
简易波形发生器设计摘要本系统基于直接数字频率合成技术(DDFS )合成任意波形,由CPLD 和单片机系统构成,频率和幅度可以键控设定。
输出频率范围宽,步进小,频率和幅度的精度高。
可以输出各种基本波形它们的组合,具有扫频输出功能。
一. 方案设计方案一:采用间接合成技术,利用锁相环,将压控振荡器(VCO )的输出频率锁定在所需的频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且由于锁相环本身是一个惰性环节,锁定时间长,故频率转换时间长。
电路也很复杂,最重要的是不能实现题目要求的任意波形。
图1—1—1 间接频率合成方案二:采用直接频率合成(DS )技术。
这种方法能实现快速频率变换,具有低相位噪声以及很高的工作频率。
但由于采用大量的倍频,分频,混频和滤波环节,导致该方法结构复杂,体积庞大,且易产生过多的杂散分量,难以达到较高的频率纯度。
该方案亦不能实现任意波形。
图1—1—2 直接频率合成方案三:采用直接数字频率合成技术(DDFS )用随机读写存储器RAM 存储所需波形的量化数据,按照不同频率要求以频率控制字K 为步进对相位增量进行累加,以累加相位值作为地址码读取存放在存储器内的波形数据,经D/A 转换和幅度控制,再滤波就得到所需波形。
—1—3 基于DDFS 频率合成基于DDFS 的频率合成原理框图如图1—1—3所示。
输出波形的一个完整周期的幅度值被顺序存放在波形存储器(可以是数据存储器或程序存储器)中。
当存储器的地址发生变化时,输出数据也将发生变化,通过D/A 输出电压波形,输出波形的频率与地址变化的快慢成正比。
在基准频率源的同步下,相位累加器每次累加频率控制字K ,相位累加器的低有效地址输出作为波形存储器的地址。
改变相位累加器的频率控制字K ,使得输出波形每个周期的点数改变,从而改变可输出频率。
假设基准频率源的输出频率为fs ,相位累加器为N 位(相位累加器的位数应满足大于波形存储器的位数),则输出频率为fo =fs K ∙2N (1—1—1)由式(1—1—1)可看出,改变K 值可改变输出频率,增加N 值可以减小频率步进。
实验报告 简易波形信号发生器的制作
0x0B,0x0D,0x0E,0x10,0x11,0x13,0x15,0x16,0x18,0x1A,0x1C,
0x1E,0x20,0x22,0x25,0x27,0x29,0x2B,0x2E,0x30,0x33,0x35,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x01,0x02,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,
0x0B,0x0D,0x0E,0x10,0x11,0x13,0x15,0x16,0x18,0x1A,0x1C,
TMOD=0x10;//置定时器1为方式1
while(1)
{
for(i=0;i<=255;i++)//形成锯齿波输出值,最大255
{
DA0832=i;//D/A转换输出
delay_1ms();
}
}
}
采用DAC0832产生正弦波的编程思路:把产生正弦波输出的二进制数据以数值的形式预先存放在程序存储器中,再按顺序依次取出送至D/A转换器,程序流程如下图所示:
void delay_1ms()
{
TH1=0xfc;//置定时器初值
TL1=0x18;
TR1=1;//启动定时器1
while(!TF1);//查询计数是否溢出,即定时1ms时间到,TF1=1
TF1=0;// 1ms时间到,将定时器溢出标志位TF1清零
}
void main()//主函数
{
uchar i;
#include<reg51.h>
波形发生器的设计实验报告
波形发生器的设计实验报告波形发生器是一种用于产生各种波形信号的仪器或设备。
它常常被用于电子实验、通信系统测试、音频设备校准等领域。
本文将介绍波形发生器的设计实验,并探讨其原理和应用。
波形发生器的设计实验主要包括以下几个方面:电路设计、元件选择、参数调整和信号输出。
首先,我们需要设计一个合适的电路来产生所需的波形。
常见的波形包括正弦波、方波、三角波等。
根据不同的波形要求,我们可以选择适当的电路结构和元件组成。
例如,正弦波可以通过RC电路或LC电路实现,方波可以通过比较器电路和计数器电路实现,三角波可以通过积分电路实现。
在元件选择方面,我们需要根据设计要求来选择合适的电阻、电容、电感等元件。
这些元件的数值和质量对波形发生器的性能和稳定性起着重要的影响。
因此,我们需要仔细考虑每个元件的参数,并选择合适的品牌和型号。
参数调整是波形发生器设计实验中的关键步骤之一。
我们需要根据设计要求来调整电路中各个元件的数值和工作状态,以确保所产生的波形符合要求。
参数调整需要依靠实验数据和仪器测量结果来进行,同时也需要运用一定的电路分析和计算方法。
信号输出是波形发生器设计实验的最终目标。
在设计过程中,我们需要确保所产生的波形信号能够正确输出,并具有稳定性和准确性。
为了实现这一目标,我们可以使用示波器等仪器来对输出信号进行检测和分析,并根据需要进行调整和优化。
波形发生器具有广泛的应用领域。
在电子实验中,波形发生器常常被用于产生各种测试信号,用于测试和验证电路的性能和功能。
在通信系统测试中,波形发生器可以产生各种模拟信号,用于测试和校准通信设备。
在音频设备校准中,波形发生器可以产生各种音频信号,用于校准音频设备的频率响应和失真特性。
波形发生器的设计实验是一个涉及电路设计、元件选择、参数调整和信号输出的复杂过程。
在实验中,我们需要仔细考虑每个步骤的要求,并根据实际情况进行调整和优化。
通过合理的设计和实验验证,我们可以获得稳定、准确的波形信号,满足各种应用需求。
简易DDS波形发生器设计
实验二简易DDS波形发生器设计084775116 马丽丽084775117 潘奕颖一、实验目的:进一步熟悉绘制ASM图分析实验的方法;进一步掌握将VHDL程序符号化的使用方法;熟悉ROM表的制作与符号化;了解DDS波形发生器的基本原理。
二、实验内容:利用FPGA和DAC,设计一个简易的DDS波形发生器并发出正弦波。
三、设计要求:1.分辨率优于1Hz;2.ROM表长度8位,位宽10位;3.输出频率优于100kHz(每个周期数据点大于50);4.显示信号频率/频率控制字(可切换);5.直接输入频率控制字或输出频率。
四、实验仪器:计算机1台;QUARTUS II软件一套;试验箱1台。
五、方法步骤:1.绘制ASM图;阅读实验要求,分析实验过程,然后绘制ASM图如下页:2. 建立一个工程;打开QUARTUS II 软件,Files → New Project Wizard → 选择储存路径→ next → next → 选择芯片Cyclone II EP2C5T144C8 → next → finish 。
3. 编写累加器的VHDL 文件,并将其转换成图形文件;①Files → New → VHDL File → 开始编写程序; ②经过编写后,进行编译(Tools → Compiler Tool →Strart),改错,再编译,改错直至编译成功,保 存文件名为“dds1.vhd ”;程序如下:library ieee;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dds1 isport(m:in std_logic_vector(19 downto 0);cp,r:in std_logic;q:out std_logic_vector(7 downto 0));end dds1;architecture z of dds1 issignal t:std_logic_vector(22 downto 0);signal n:std_logic_vector(22 downto 0);beginprocess(cp)beginn<="000"&m;if cp'event and cp='1' thenif r='1' thent<="00000000000000000000000";elsif t+n>8388607 thent<="00000000000000000000000";elset<=t+n;end if;end if;q<=t(22 downto 15);end process;end z;③编译通过以后,File → Create/Update → Create Symbol Files For Current File。
波形发生器设计报告
波形发生器设计报告摘要波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。
函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。
函数信号发生器在电路实验和设备检测中具有十分广泛的用途。
通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。
目前使用的信号发生器大部分是函数信号发生器,且特殊波形发生器的价格昂贵。
所以本设计使用的是DAC0832、ICL8038构成的发生器,可产生方波、正弦波等多种特殊波形和任意波形,波形的频率可用程序控制改变。
在单片机上加外围器件独立式键盘,通过键盘控制波形频率的增减、波形幅度的大小以及波形的选择,并用了LCD显示频率大小、波形的种类。
在单片机的输出端口接DAC0832进行D/A转换,通过运放进行波形调整,再通过ICL8038函数发生器,最后输出波形。
本设计具有线路简单、结构紧凑、价格低廉、性能优越等优点。
本设计制作的波形发生器是基于STC89C54RD+单片机可调频发生器,可以输出多种不同频率方波、正弦波,输出的波形的频率、幅度均可调,且操作方便。
关键词:波形发生器;DAC0832;IC8038;单片机一、方案论证 (4)1.1设计要求 (4)1.2方案论证 (4)二、硬件系统的设计 (5)2.1整体框架图 (5)2.2电源模块设计 (5)2.2.1 电源原理 (5)2.2.2 芯片介绍 (6)2.3输入模块设计 (7)2.3.1按键控制模块 (7)2.3.2时钟复位电路 (7)2.4 输出模块设计 (10)2.4.1 LCD显示模块原理 (10)2.4.1 LCD1602芯片介绍 (10)2.5 DA转换模块设计 (11)2.5.1 DA转换模块原理 (11)2.5.2 DAC0832芯片介绍 (12)2.6 ICL8038函数信号发生模块设计 (13)2.6.1 ICL8038函数信号发生模块原理 (13)2.6.2 ICL8038芯片介绍 (14)三、软件设计 (16)3.1输入模块编程 (16)3.2 输出模块编程 (17)3.3 DA转化模块编程 (17)四、仿真与调试 (19)4.1硬件调试 (19)4.1.1电气调试 (19)4.1.2 波形调试 (19)4.1.3波形频率范围调试 (20)4.1.4 波形幅度范围调试 (20)4.1.5 失真度调试 (20)4.2 软件调试 (21)五、心得体会 (22)附录一: (23)一、方案论证1.1设计要求1)信号发生器能产生正弦波、方波;2)输出的频率在100HZ-100KHZ的范围内可调;3)在1000欧负载的条件下,输出正弦波信号的电压峰-峰值Vopp在0-5V的范围可调;4)输出信号的波形无明显失真;5)可实时显示输出信、幅度、频率和频率步进值;1.2方案论证信号发生器的实现方法通常有以下几种:方案一:用分立元件组成的函数发生器,通常是单函数发生器且频率不高,其工作不很稳定,不易调试。
简易波形发生器课程设计报告
课程设计报告设计题目:简易波形发生器学院:理学院专业班级:08电子信息科学与技术(1)班学号: 200831120102 200831120112 200831120101 姓名:陈建泽纪婧如蔡国英电子邮件:****************时间: 2010年12月成绩:指导教师:刘丹华南农业大学理学院应用物理系课程设计(报告)任务书学生姓名陈建泽纪婧如蔡国英指导教师刘丹职称讲师题目简易波形发生器任务与要求以单片机为主控制器,扩展适当的接口电路,制作一个简易波形发生器,通过示波器观察所得的信号波形。
通过功能选择,使信号发生器分别输出阶梯波、正弦波、三角波和方形波。
学会查询文献资料,撰写论文的方法,并提交课程设计报告和实验成品。
开始日期 2010 年 12 月 7 日完成日期 2010 年 12 月 23 日简易波形发生器学生:陈建泽纪婧如蔡国英指导老师:刘丹摘要:本系统是基于STC89C52单片机的波形发生器。
采用STC89C52单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)和按键等。
通过按键控制可产生方形波、三角波、正弦波和阶梯波。
其设计简单、性能优良,具有一定的实用性。
文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC89C52的基础理论,着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。
关键词:波形发生器、STC89C52、DAC0832Abstract:Waveform The system is a generator based on single chip computer. STC89C52 is used as a control microcontroller core. The system is composed by digital/analog conversion (DAC0832) and buttons. .It can generate the square, triangle,sine and step wave. It is very practical. This paper introduces different signals that are produced by STC89C52 SCM and DAC0832, and briefly introduces the structure principle and usage of DAC0832, the basic theory of STC89C52. This paper emphasized how to use SCM to control the hardware circuit and software program of the signals above which produced by DAC0832 as well.Key words:waveform generator, STC89C52, DAC08321 引言波形发生器也称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。
波形发生器的项目报告
波形发生器的项目报告
项目报告:波形发生器
一、项目背景
波形发生器是电子工程中常见的一个工具,它可以产生多种不同形状
的信号波形,并且可以通过调节参数控制波形的频率、幅值等属性,
通常用于测量、测试、学习电子电路的基本特性。
为了掌握波形发生
器的工作原理,本项目从零开始设计一款简单的波形发生器。
二、项目过程
1. 确定项目需求,选择电路方案
我们需要设计一款可以产生正弦、方波、三角波等多种波形的波形发
生器,经过调研和分析,选择了基于 RC 电路的 Wien 桥电路作为波
形发生器的核心部分。
2. 逐步组装电路
我们根据所选方案,逐步组装出基于 Wien 桥电路的波形发生器电路。
在电路组装过程中,需要特别注意引脚和元件的正确连接,以及温度
和电压等环境因素对电路性能的影响。
3. 调试电路并测试波形
电路组装完毕后,需要进行电路调试并测试波形的品质。
在调试过程中,需要仔细观察各个元件的参数表现,并根据实验数据调节电路参数。
4. 完成外壳设计和制作
为了确保波形发生器的可靠性和易用性,我们设计了一个简单实用的外壳结构,并采用 3D 打印技术制作出实物。
三、项目成果
经过几天的设计和实验,我们成功地制作出一款简单的波形发生器,它可以产生正弦、方波和三角波等多种形状的波形信号,并可以通过旋钮调节频率和幅值。
我们的波形发生器在信号波形和精度等方面表现出良好的性能,并具有易用、灵活、实用的特点。
该项目不仅让我们深入了解了 RC 电路和 Wien 桥电路的原理和应用,而且还提高了我们的设计、实验能力。
波形发生器设计实验报告(推荐阅读)
波形发生器设计实验报告(推荐阅读)第一篇:波形发生器设计实验报告波形发生器设计实验报告一、设计目的掌握用99SE软件制作集成放大器构成方波,三角波函数发生器的设计方法。
二、设计原理波形发生器:函数信号发生器是指产生所需参数的电测试信号的仪器。
按信号波形可分为正弦信号、函(波形)信号、脉冲信号和随机信号发生器等四大类。
而波形发生器是指能够输出方波、三角波、正弦波等多种电压波形的信号源。
它可采用不同的电路形式和元器件来实现,具体可采用运算放大器和分立元件构成,也可用单片专用集成芯片设计。
设计原理图:三、设计元件电阻:R1 5.1K、R2 8.2K、R3 680、R4 3K、R5 39KR6 1K、R7 39K、R8 39K 电容:C 1uF 运算放大器:U1A LM324、U1B LM324 二极管:D1 3.3V、D23.3V 滑动变阻器:RW1 10K 接口:CON3 地线、GND四、设计步骤大概流程图1、打开99SE,建立Sch文件。
绘制原理图。
绘制原理图时要注意放大器的引脚(注意引脚上所对应的数字)和二极管的引脚(注意原理图和PCB中的引脚参数是否一致)。
元件元件库代码电阻:RES2 滑动变阻器:POT2电容:CAP 放大器:OPAMP 二极管:ZENER3 元件封装代码电阻: AXIAL0.4 滑动变阻器:VR5 放大器:DIP14二极管:DIODE0.4 电容:RB.2/.42、生成网络表格本步骤可完成建立材料清单(可执行report中的Bill of Material)、电器规则检查(Tools中ERC)、建立网络表(Design中Create Netlist,点击OK即可)3、PCB文件的设置建立PCB文件单双面板设置:Design中Options进行设置单双面板,及面板大小(8cm*7cm)建立原点(Edit中Origin中的set)并在KeepOutLayer层中制板4、引入网络表执行Design中Load Nets载入网络表,屏幕弹出对话框,点击Browse按钮选择网络表文件(*net),载入网络表,单机Execute,便成功引入网络表。
简易波形发生器设计报告
电子信息工程学院硬件课程设计实验室课程设计报告题目:波形发生器设计年级:13级专业:电子信息工程学院学号: 6学生:覃凤素指导教师:罗伟华2015年11月12 日波形发生器设计波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。
波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。
产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。
本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。
再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。
一、设计要求:(1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调;(3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录;(6) 给出分析过程、电路图和记录的波形。
扩展部分:(1)产生一组锯齿波,频率围为10Hz~100Hz ,V V8p-p =;(2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。
二、技术指标(1) 频率围:100Hz~1kHz,1kHz~10kHz ;(2) 输出电压:方波V V24p-p ≤,三角波V V6p-p =,正弦波V V1p-p ≥;(3) 波形特性:方波s tμ30r< (1kHz ,最大输出时),三角波%2V<γ,正弦波y~<2%。
三、选材:元器件:ua741 2个,3DG130 4个,电阻,电容,二极管仪器仪表:直流稳压电源,电烙铁,万用表和双踪示波器四、方案论证方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。
波形发生器课程设计报告
课程设计报告书波形发生器学院电子与信息学院专业班级学生姓名学生学号指导教师课程编号课程学分 1起始日期 2017波形发生器一、选题背景波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。
函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。
函数信号发生器在电路实验和设备检测中具有十分广泛的用途。
通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、矩形波的函数波形发生器。
二、方案论证1、设计题目要求1.1、功能要求1.1.1、同时三通道输出,采用正弦波、矩形波、三角波的级联结构;1.1.2、电源由稳压电源供给;1.2、指标要求:1.2.1、输出电压要求正弦波Vp-p>10V、矩形波Vp-p>10V、三角波Vp-p>4V;1.2.2、输出波形频率范围为100Hz—2kHz;1.2.3、通带内输出电压幅度峰峰值误差不大于5%;1.2.4、矩形波占空比可调整,调整范围:10%~90%;2、总体设计方案2.1 设计思路根据模拟电子技术基础课程,可通过RC桥式正弦波振荡电路产生正弦波,通过比较器变换成矩形波,再通过积分电路变换成三角波;或者同过滞回比较器和RC电路组成的矩形波发生电路产生矩形波,通过积分电路变换成三角波,再用滤波法变换成正弦波。
2.2 设计方案满足上述设计功能可以实施的方案很多,现提出以下几种方案:2.2.1方案一①原理框图图2.2.1 方案一原理框图②基本原理通过RC桥式正弦波振荡电路,产生正弦波,改变电阻R和电容C的值实现频率可调;通过单限比较器,产生矩形波,接入参考电压,通过改变与参考电压串联电阻的阻值,实现占空比可调;通过积分电路,产生三角波。
2.2.2方案二①原理框图图2.2.2 方案二原理框图②基本原理通过矩形波发生电路产生矩形波,改变阻值和电容实现频率可调,利用二极管的单向导电性可以引导电流流经不同的通路,改变电位器阻值实现占空比可调;通过积分电路产生三角波;通过低通滤波器产生正弦波。
波形发生器的设计
波形发生器设计报告一、设计任务设计制作一个波形发生器,该波形发生器能产生正弦波、方波、三角波和由用户编辑的特定形状波形。
二、设计要求1. 基本要求具有产生正弦波、方波、三角波三种周期性的波形。
用键盘输入编辑生成上述三种波形(同周期)的线性组合波形,以及由基波及其谐波(5次以下)线性组合的波形。
具有波形存储功能。
输出波形的频率为100Hz~20KHz(非正弦波频率按10次谐波计算):重复频率可调,频率步进间隔≤100Hz。
输出波形幅度范围0~5V(峰-峰值),可按步进0.1V(峰-峰值)调整。
具有显示输出波形的类型、重复频率(周期)和幅度的功能。
2.发挥部分输出波形频率范围扩展至100Hz~200KHz。
用键盘或其他输入装置产生任意波形。
增加稳幅输出功能,当负载变化时,输出电压幅度变化不大于±3%(负载电压变化范围:100Ω~∞)。
具有掉电存储功能,可存储掉电前用户编辑的波形和设置。
可产生单次或多次(1000次以下)特定波形(如产生一个半周期三角波输出)。
其它(如增加频谱分析、失真度分析、频率扩展>200KHz、扫频输出等功能)。
三、方案设计和论证:根据题目的要求,我们一共提出了三种设计方案,分别介绍如下:1、方案一采用低温漂、低失真、高线性单片压控函数发生器ICL8038,产生频率受控可变的正弦波,可实现数控频率调整。
通过D/A和5G353进行输出信号幅度的控制。
输出信号的频率、幅度参数由4x4位键盘输入,结果输出采用6位LED显示,用户设置信息的存储由24C01完成。
2、方案二行N分频,输出信号送入正弦波产生电路和三角波产生电路,其中正弦波采用查表方式产生。
计数器的输出作为地址信号,并将存储器2817的波形数据读出,送DAC0832进行D/A 转换,输出各种电压波形,并经过组合,可以得到各种波形。
输出信号的幅度由0852进行调节。
系统显示界面采用16字x1行液晶,信号参数由4x4位键盘输入,用户设置信息的存储由24C01完成。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子信息工程学院硬件课程设计实验室课程设计报告题目:波形发生器设计年级:13级专业:电子信息工程学院学号:************学生姓名:覃凤素指导教师:***2015年11月1日波形发生器设计波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。
波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。
产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。
本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。
再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。
一、设计要求:(1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调;(3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录;(6) 给出分析过程、电路图和记录的波形。
扩展部分:(1)产生一组锯齿波,频率范围为10Hz~100Hz ,V V8p-p =;(2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。
二、技术指标(1) 频率范围:100Hz~1kHz,1kHz~10kHz ;(2) 输出电压:方波V V24p-p ≤,三角波V V6p-p =,正弦波V V1p-p ≥;(3) 波形特性:方波s tμ30r< (1kHz ,最大输出时),三角波%2V<γ,正弦波y~<2%。
三、选材:元器件:ua741 2个,3DG130 4个,电阻,电容,二极管仪器仪表:直流稳压电源,电烙铁,万用表和双踪示波器四、方案论证方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。
方案二:用ICL8038函数发生器可以同时产生方波、三角波和正弦波。
当调节外部电路参数时,还可以获得占空比可调的矩形波和锯齿波。
方案三:用滞回比较器的开关作用和具有延时作用的RC 反馈网络构成多谐振荡器,用积分电路将方波转换成三角波,用分立元件三极管、电容、电位器和电阻搭成差分放大器,三角波经过差分放大器可转换成正弦波。
论证:方案一的特点是采用RC 串并联网络作为选频和反馈网络,其震荡频率为RCπ21f=,改变RC 的值,可以得到不同频率的正弦波信号输出。
为了让电压稳定,需采用稳幅措施。
它的缺点是结构复杂且不好调试,不能很快地得到电路波形。
方案二可以同时产生方波、三角波和正弦波,它的信号发生器电路简单、调整方便。
方案三介于方案一和方案二之间,它的设计电路比方案一简单但比方案二复杂,电路引起的失真也介于两者之间。
虽然比较之下方案二最是简单和易于实现所要的波形,但考虑到此次课程设计的意义在于使我们能更好地将所学实践起来,我们所采取的电路是方案三,既对同学的能力能有所体现,电路实验本身也是有些难度的。
以下是方案三函数发生器的组成框图:1.方波发生电路因为方波电压只有两种状态,不是高电平就是低电平。
所以电压比较器是它的重要组成部分。
它由反向输入的滞回比较器和RC 电路组成。
RC 回路既作为延迟环节,又作为反馈网络,通过RC 充、放电实现使输出状态自动地相互转换。
如图所示的方波发生电路,以Ua741构成滞回比较器,有URRR U O T 1211•+±=±当VP>0时,A1输出为正;当VP<0时,A1输出为负。
2.方波—三角波发生电路:三角波电路波形可以通过积分电路实现,把方波电压作为积分电路的输入,在积分运算电路的输出就得到了三角波。
在方波-三角波发生电路中,以A2(ua741)作为反向积分器,当A1输出的V o1为正时,积分运算电路的输出电压Vo2将线性下降,当A1输出的V o1为负时,Vo2将线性上升.利用叠加定理可得:VRRR VRRR VO P221201211+++=URRR U mO P T 2132+±=± RR C R RR P P T 131242)(4++=积分器的输出为dt )(112242⎰+-=U C RP R UO OV U CC O +=1时,t C RP R V U CCO 2242)()(++-=V U CC O -=1时,t CRP R V U CCO 2242)()(+--=3.三角波—正弦波发生电路由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。
差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。
特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。
波形变换的原理是利用差分放大器传输特性曲线的非线性。
4.总的电路图五、参数计算方波-三角波的频率:C RR R R RP R f 224212)(4++=三角波的幅度:V RPR R U CCm o 1322+= 方波—三角波的频率:C RP R R RP R 224213)(f 4++= 212132==+vV RP R R ccm o 取R2=10K Ω,R3=20K Ω,RP1=47K Ω。
平衡电阻R1≈ 10K Ω 。
当100Hz<=f<=1kHz 时,取C1=0.1uF ,R4=5.1K Ω,RP2=100K Ω。
当1kHz<=f<=10kHz时,C2=0.01uF 。
三角波—正弦波的参数选择原则是:隔直电容C 3、C4、C5要取得较大,因为输出频率很低,取为470uF ,滤波电容C6的取值为1uF 。
RE 2=100Ω与RP4=100Ω并联,以减小差分放大器的线性区。
六、安装调试1.由于比较器A1与A2组成正反馈闭环电路,同时输出方波与三角波,故这两个单元电路可以同时安装。
2.在面包板上和最后焊在电路板上时注意芯片以及三极管的各引脚的接线;3.按图接电源线,注意直流源的正负极和接地端;4.电位器RP1可调整方波—三角波的的输出幅度,即峰峰值。
在用电位器RP2调整方波—三角波的输出频率时,一般不会影响输出波形的幅度;5.观察示波器,各指标达到要求后进行下个部分的安装;6.在三角波—正弦波发生电路部分,给定输入的三角波横为6V ,在其它条件不变的情况下,发现当电容C6用104时,输出的正弦波有明显的失真,于是我们将电容C6改用105,再调整电位器RP3和RP41,使得正弦波的失真最小,波形呈现比较好的状态。
实验输出的波形如下:(1)100Hz到1KHz方波V p-p=5.00V*4.3=21.5Vf=184.95HzT=2.50ms*2.1=5.5ms三角波V p-p=1.00V*6.0=6.00Vf=184.95HzT=2.5ms*2.1=5.5ms正弦波V p-p=0.2V*6.4=1.28Vf=153.85HzT=2.5*2.6=6.5ms(2)1KHz到10KHz方波V p-p=5.00V*4.5=22.5Vf=1.519KHzT=500us*1.4=700us三角波V p-p=2.00V*3=6.00V f=1.519HzT=500us*1.4=700us正弦波V p-p=200mV*2.6=0.512V f=1.519KHzT=500us*2.5=1250us扩展部分:锯齿波V p-p=2.00V*3.0=6.0Vf=23.1318HzT=25.0ms*1.6=40ms矩形波V p-p=5.00V*4.4=22.0Vf=23.1318HzT=25.0ms*1.7=42.5ms七、小结本次课程设计在老师的带领下使我学会了好多知识,也提高了我的动手能力。
在初次看到任务书的时候我头很大,因为我不知从何下手,只能硬着头皮来。
好在有老师和同学们的热心指导,我把模电书本拿来重新翻看,复习其中的知识点,对模电的认识有了新的认识。
在这次课程设计中,我主要的工作是搭面包板和对电路的调试。
搭面包板的时候因为对ua741的引脚功能不了解,不知道要怎么接电阻,就请教了同学,很快就弄清楚了。
搭好的时候波形却没有出来,经过反复查看也看出哪里有问题,只好再搭。
后来才知道原来是电源没接好。
经过多次搭建以及和伙伴的调试,波形出来了,要求也达到了,就是正弦波有些微的失真。
于是我们进入下一个环节——焊电路板。
电路板是小伙伴负责焊的,我在旁边看她有没有哪里没焊好。
对焊电路,我认为一定要记得哪个器件对应电路图上的哪个器件以及电路板上该接在一起的不该接在一起的要清清楚楚才行,否则焊好的时候会搞不清楚自己该从哪里下手调整。
我们先把方波—三角波部分焊出来了。
焊好以后就接电源,输出波形,通过调整电位器,以及检查电路更换电阻,最终波形都达到了要求。
然后就是焊最后一部分的电路。
我们焊好以后接通电源,把三角波调到峰峰值为6V,当C1用104的时候(100Hz~1KHz)波形的失真能调到很小,但C1用103的时候(1KHz~10KHz)就不行了,于是我们经过分析,把C6换成了105,发现正弦波的失真情况好多了,而电容C1改用104的时候正弦波的失真也很小。
真是太好了。
做完这些,我们开始测静态工作点。
最后,还有时间,经过查阅资料,我在电位器RP2的两边并了一个二极管,通过调整电位器把矩形波和锯齿波做了出来。
但是锯齿波的峰峰值怎么都调不到8V。
要想把锯齿波的峰峰值调到8V,就要重新计算各个电阻的取值以及电位器的取用。
我们最终没能达到这个要求。
从课本的理论知识到亲身动手的过程一开始很难,但后来就感到慢慢的有趣了。
我懂得了理论知识的重要性,在实际操作中也学到了要自己把问题解决,实在自己搞不定就多问问老师和同学。
感谢老师和同学们的帮助。
参考文献[1]童诗白《模拟电子技术基础》(第四版)2006 高等教育出版社[2] 于卫《模拟电子技术实验及综合实训教程》2008 华中科技大学出版社[3] 康华光《电子技术基础模拟部分》(第五版)[M]. 北京:高等教育出版社,2011评分等级:评阅教师:年月日。