EDA--调频信号发生器
EDA--调频信号发生器
EDA--调频信号发生器湖南人文科技学院课程设计报告课程名称:VHDL语言与EDA课程设计设计题目:调频信号发生器系别:信息学院专业:电子信息工程班级:一班学生姓名: 姚靖瑜何渡余建佳学号: 13409112 13409115 13409120 起止日期: 2016年6月16日指导教师:姚毅教研室主任:指导教师评语:指导教师签名:年月日成绩评定项目权重成绩1、设计过程中出勤、学习态度等方面2、课程设计质量与答辩3、设计报告书写及图纸规范程度总成绩教研室审核意见:教研室主任签字:年月日教学系审核意见:主任签字:年月日摘要本文介绍一种利用EDA技术和VHDL语言,在QuartusⅡ环境下,设计的一种调频信号发生器。
EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。
介绍一种基于DDS 原理,并采用FPGA 芯片和VHDL 开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案和在GW48 CK型EDA 集成电路开发系统上实现的实验结果。
关键词:调频;信号发生器;DDS;FPGA;VHDL;QuartusⅡ目录设计要求 (1)1、方案论证与对比 (1)1.1方案对比 (1)1.2方案选择 (2)2、工作原理及过程 (2)2.1DDS的基本原理 (2)2.2基本流程图 (3)3、模块设计 (3)3.1MATLAB设计 (3)3.1.1 顶层原理图设计 (3)3.1.2 Smulink模型仿真 (4)3.1.3 Signalcompiler的使用 (4)3.2Q UARTUS II设计 (6)3.2.1 顶层原理图模块 (6)3.2.2 高速A/D转换器TLC5510 (6)3.2.3 调试与操作说明 (7)3.2.4 顶层文件设计 (8)4、问题分析 (9)5、心得体会 (9)6、元件清单 (10)7、致谢 (10)参考文献.................................................................................................. 错误!未定义书签。
EDA正弦波信号发生器的设计
利用LPM 设计正弦信号发生器一、设计目的:进一步熟悉maxplu sII 及其LPM 设计的运用。
二、设计要求:1、利用原理图输入方式。
2、信号数据点值自行想法实现。
3、得出正确时序仿真文件。
三、设计原理:图1 正弦信号发生器结构框图图1所示的正弦波信号发生器的结构由三部分组成计数器或地址发生器(这里选择8位),正弦信号数据ROM (8位地址线,8位数据线),含有256个8位数据(一个周期)。
四、VHDL 顶层设计。
设计步骤:1、建立.mif 格式文件建立C 语言文件sin.cpp ,运行产生sin.exe 文件。
sin.cpp 程序代码:#include <iostream>#include <cmath>#include <iomanip>using namespace std;int main(){int i;float s;VHDL 顶层设计sin.vhd8位计数器 (地址发生器) 正弦波数据 存储ROM 产生波形数据cout<<"WIDTH=8;\nDEPTH=256;\n\nADDRESS_RADIX=HEX;\nDA TA_R ADIX=HEX;\n\nCONTENT\nBEGIN\n";for(i=0;i<256;i++){s=sin(atan(1)*8*i/256);cout<<" "<<i<<" : "<<setbase(16)<<(int)((s+1)*255/2)<<";"<<endl;}cout<<"END"<<endl;return 0;}把上述程序编译后,在DOS命令行下执行命令:sin.exe > sin.mif;将生成的sin.mif 文件。
EDA实验-正弦波信号发生器设计
实验八正弦信号发生器的设计一、实验目的1、学习用VHDL设计波形发生器和扫频信号发生器。
2、掌握FPGA对D/A的接口和控制技术,学会LPM_ROM在波形发生器设计中的实用方法。
二、实验仪器PC机、EDA实验箱一台Quartus II 6.0软件三、实验原理如实验图所示,完整的波形发生器由4部分组成:• FPGA中的波形发生器控制电路,它通过外来控制信号和高速时钟信号,向波形数据ROM 发出地址信号,输出波形的频率由发出的地址信号的速度决定;当以固定频率扫描输出地址时,模拟输出波形是固定频率,而当以周期性时变方式扫描输出地址时,则模拟输出波形为扫频信号。
•波形数据ROM中存有发生器的波形数据,如正弦波或三角波数据。
当接受来自FPGA的地址信号后,将从数据线输出相应的波形数据,地址变化得越快,则输出数据的速度越快,从而使D/A输出的模拟信号的变化速度越快。
波形数据ROM可以由多种方式实现,如在FPGA外面外接普通ROM;由逻辑方式在FPGA中实现(如例6);或由FPGA中的EAB模块担当,如利用LPM_ROM实现。
相比之下,第1种方式的容量最大,但速度最慢;,第2种方式容量最小,但速度最最快;第3种方式则兼顾了两方面的因素;• D/A转换器负责将ROM输出的数据转换成模拟信号,经滤波电路后输出。
输出波形的频率上限与D/A器件的转换速度有重要关系,本例采用DAC0832器件。
DAC0832是8位D/A转换器,转换周期为1µs,其引脚信号以及与FPGA目标器件典型的接口方式如附图2—7所示。
其参考电压与+5V工作电压相接(实用电路应接精密基准电压).DAC0832的引脚功能简述如下:•ILE(PIN 19):数据锁存允许信号,高电平有效,系统板上已直接连在+5V上。
•WR1、WR2(PIN 2、18):写信号1、2,低电平有效。
•XFER(PIN 17):数据传送控制信号,低电平有效。
•VREF(PIN 8):基准电压,可正可负,-10V~+10V.•RFB(PIN 9):反馈电阻端。
基于EDA技术的三角波信号发生器
11 . 本课题 目 前发展状况 在研制 、 生产、 测试和维修各种电子器件 、 部件 以
及整机设备时 , 都需要有信号源 , 由它产生不 同频率 、 不 同波形。 的将 电压 、 有 电流信号并加到被测器件 、 设 备上 , 用其他测量仪器观察、 测量被测者的输 出响应 , 以分析确定他们的性能参数。 这种提供测试用电信号 的装置 , 统称为信号发生器 , 用在 电子测量领域 , 也称
该波形发生器主要是通过 5 . 校准仪表 。输出频率、 幅度准确的信号 , 校准仪 设计实现 的三角波发生器。 外部输入信号的不 同而引起三角波形幅值的变化 。 本 表的衰减器、 增益及亥 度。 4 P A为核心 ,以单片机为主要控制器件 , 此外信号源在调试雷达 、 电视 、 多路通讯 系统和 系统是 以 F G
电子计算机 、 检修电子仪器也是十分重要的设备。 1 . 2课题的提 出与意义 信号发生器是科研及工程 实践 中最重 要的仪器 之一 , 以往多用硬件组成, 系统结 构 比较复杂 , 可维护 性和可操作性不佳。随着计算机技术的发展 , 信号发 生器 的设计制作越来越多地使用计算机技术 , 种类繁 多, 价格 、 性能差异很大 。 在各种类信号发生器中 , 三角波信号发生器是最 普通 的一类 。其原因是三角信号容 易产生 , 容易描述 又是 比较广泛的载波信号 。显然 , 由于信号发生器 的 性能 , 被测器件 、 设备各项性能参数的测量质量 , 将直 接依赖于信号发生器的性能。 如果波形发生器单纯地 以单 片机 8C 为核心 95 1 而设计 , 则很难通过滤波电路在示波器上显示出波形 图。 但近年来随着数字 电子技术 的飞速发展和电子设 计 技术 的不 断提 高 ,可编程 逻辑 器件 ( C L 、 如 P D FG ) P A 的应用 , 已得 到广泛 的普及 , 这些器件 为数字 系统的设计带来 了极大的灵活性。 这些器件可以通过
EDA课程设计(信号发生器)
课程设计报告2010 ~ 2011 学年第一学期设计题目:基于FPGA可调信号发生器学院:专业:课程名称: EDA原理与应用学生姓名:时间: 2011年1月指导教师:目录一、系统总体设计---------------------------------------------------------------------2二、系统功能模块设计---------------------------------------------------------------21、矩阵键盘模块------------------------------------------------------------32、频率显示模块-----------------------------------------------------------113、波形数据ROM初始化数据文件设计--------------------------------134、频率、幅度改变模块--------------------------------------------------145、DA转化模块-----------------------------------------------------------186、示波器检测-------------------------------------------------------------18三、结束语---------------------------------------------------------------------------191、矩阵键盘模块:矩阵键盘又称为行列式键盘,它是用4条I/O线作为行线,4条I/O线作为列线组成的键盘。
在行线和列线的每一个交叉点上,设置一个按键,这样键盘中按键的个数是4×4个。
这种行列式键盘结构能够有效地提高系统中I/O口的利用率。
EDA课程设计--DDS信号发生器
电子课程设计电子课程设计------DDS信号发生器合成器信号发生器合成器学院:学院:专业班级:专业班级:专业班级:姓名:姓名:姓名:学号:学号:学号:指导老师:指导老师:指导老师:2012年12月直接数字频率合成器(直接数字频率合成器(DDS DDS DDS))一、 设计任务与要求直接数字频率综合技术,直接数字频率综合技术,即即DDS 技术,是一种新型的频率合成技术和信号产生方法。
利用EDA 技术和FPGA 实现直接数字频率合成器DDS 的设计。
的设计。
设计要求:设计要求:1、 利用QuartusII 软件实验箱实现DDS 的设计;的设计;2、 通过实验箱上的开关输入DDS 的频率和相位控制字,的频率和相位控制字,并能用示波器观并能用示波器观察加以验证;察加以验证;3、 系统具有清零和使能的功能;系统具有清零和使能的功能;4、DDS 中的波形存储器模块用Altera 公司的Cyclone 系列FPGA 芯片中的ROM 实现。
实现。
二、 总体框图N 位Mf图1 DDS 总体框图总体框图低通滤波器品累加器品频率控制字相位寄存器加法器品相位控制字品正弦查找表品数模转换器品时钟源品1、 模块的功能模块的功能(1) 频率预置和调节电路频率预置和调节电路不变量不变量K 称作相位增量,也叫频率控制字。
此模块实现频率控制量的输入。
入。
(2) 相位累加器相位累加器相位累加器是一个带有累加功能的N 位加法器,它以设定的N 位频率控制字K 作为步长进行线性累加,当其和满时,计数器清零,并进行重新运算,它使输出频率正比于时钟频率和相位增量之积。
它使输出频率正比于时钟频率和相位增量之积。
(3) 相位寄存器相位寄存器 相位寄存器是一个相位寄存器是一个N 位的寄存器,它对输入端输入的数据进行寄存,当下一个时钟到来时,输出寄存的数据。
下一个时钟到来时,输出寄存的数据。
相位相位 频率控频率控频率控f 量化量化制字K 序列序列 N 位 N 位N 位图图2 2 相位累加器相位累加器相位累加器相位累加器的组成相位累加器的组成相位累加器的组成=N =N 位加法器位加法器+N +N 位寄存器位寄存器相位累加器的作用:在时钟的作用下,进行相位累加相位累加器的作用:在时钟的作用下,进行相位累加注意:当相位累加器累加满量时就会产生一次溢出,完成一个周期当相位累加器累加满量时就会产生一次溢出,完成一个周期 的动作。
基于EDA技术的调频信号发生器的设计
基于EDA技术的调频信号发生器的设计
李逢玲;郑飞
【期刊名称】《现代电子技术》
【年(卷),期】2006(29)8
【摘要】EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术.介绍一种基于DDS原理,并采用FPGA芯片和VHDL开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案和在GW48_CK型EDA集成电路开发系统上实现的实验结果.
【总页数】3页(P10-12)
【作者】李逢玲;郑飞
【作者单位】佛山科学技术学院,广东,佛山,528000;佛山职业技术学院,广东,佛山,528000
【正文语种】中文
【中图分类】TN911.7
【相关文献】
1.基于EDA技术的函数信号发生器设计 [J], 陈祖武
2.基于EDA技术的数字波形信号发生器的设计 [J], 兰如波;罗黎;雷艳
3.基于EDA技术的正弦信号发生器设计 [J], 高锐
4.基于EDA仿真技术的函数信号发生器的设计 [J], 张秀艳;李雪冰
5.基于EDA技术的数字式信号发生器的设计 [J], 王武乔
因版权原因,仅展示原文概要,查看原文内容请购买。
EDA论文+序列信号发生器的设计
序列信号发生器的设计吴书娜 物理系 2005级 电子信息工程(1+3)班摘要:在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号,产生序列信号的电路称为序列信号发生器[1]。
本设计中选用目前应用较广泛的VHDL 硬件电路描述语言,实现对序列信号发生器的硬件电路描述,在ALTERA 公司的EDA 软件平台MAX+PLUS Ⅱ环境下通过了编译、仿真,并下载到CPLD 器件上进行编程制作,实现了序列信号的发生过程。
关键字:序列信号 VHDL EDA 仿真 MAX+PLUS Ⅱ一、前言:电子设计技术的核心就是EDA 技术,EDA 是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD 通用软件包,主要能辅助进行三方面的设计工作,即IC 设计、电子电路设 和PCB 设计[2]。
EDA 技术已有30年的发展历程,大致可分为三个阶段。
70年代为计算机辅助设计(CAD )阶段,人们开始用计算机辅助进行IC 版图编辑、PCB 布局布线,取代了手工操作。
80年代为计算机辅助工程(CAE )阶段。
与CAD 相比,CAE 除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。
CAE 的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB 后分析[3]。
90年代为ESDA 阶段。
尽管CAD/CAE 技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。
在整个设计过程中,自动化和智能化程度还不高,各种EDA 软件界面千差万别,学习使用困难并且互不兼容,直接影响到设计环节间的衔接。
基于以上不足,人们开始追求贯彻整个设计过程的自动化,即电子系统设计自动化(ESDA )。
EDA 技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA 工具问世,广大电子工程人员应该尽早掌握这一先进技术,这不仅是提高设计效率的需要,更是我国电子工业在世界市场上生存、竟争与发展的需要。
eda信号发生器设计
目录摘要 (2)一、实验目的 (2)二、主要功能 (2)三、实验原理 (3)四、软件设计 (3)4.1、程序 (3)4.2、波形图 (4)五、引脚锁定后下载程序 (5)六、实验结果 (5)七、实训心得 (5)八、参考文献 (9)九、附录 (9)摘要信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。
在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。
信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
各种波形曲线均可以用三角函数方程式来表示。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器关键词:信号发生器;FPGA;Verilog HDL语言一、实验目的进一步巩固理论知识培养所学理论知识在实际中的应用能力:掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法:利用EDA软件设计一个电子技术综合问题,培养Verilog HDL编程;书写技术报告的能力,为以后进行工程实际问题的研究打下设计基础。
二、主要功能信号发生器,它用于产生被测电路所需特定参数的电测试信号。
在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。
当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。
当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。
并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性,有输出指示。
信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。
正弦信号是使用最广泛的测试信号。
eda课程信号发生器课程设计
eda课程信号发生器课程设计一、课程目标知识目标:1. 学生能理解EDA课程中信号发生器的原理与功能,掌握相关电子元件的工作特性。
2. 学生能够掌握信号发生器的分类、特点及应用场景,了解各类信号发生器的优缺点。
3. 学生能够运用所学知识,分析并设计简单的信号发生器电路。
技能目标:1. 学生能够熟练运用EDA软件进行信号发生器电路的设计、仿真与调试。
2. 学生能够独立完成信号发生器的硬件搭建,并进行基本的性能测试。
3. 学生能够通过实际操作,提高动手实践能力,培养解决实际问题的能力。
情感态度价值观目标:1. 学生能够培养对电子工程的兴趣,激发创新意识,形成主动学习的习惯。
2. 学生能够培养团队协作精神,学会与他人沟通交流,共同解决问题。
3. 学生能够认识到信号发生器在现代社会中的重要作用,增强社会责任感和使命感。
本课程针对高年级学生,在分析课程性质、学生特点和教学要求的基础上,明确以上课程目标。
通过分解目标为具体的学习成果,使学生在掌握专业知识的同时,提高实践操作能力和团队协作能力,培养良好的情感态度价值观。
为后续的教学设计和评估提供明确的方向。
二、教学内容本章节教学内容依据课程目标,紧密结合教材,确保科学性和系统性。
主要内容包括:1. 信号发生器原理与分类:讲解信号发生器的基本原理、功能及分类,重点介绍函数发生器、脉冲发生器等常见类型的工作原理及应用。
2. 电子元件特性分析:分析常用电子元件(如运放、晶体管、二极管等)在信号发生器中的作用,掌握其工作特性。
3. 信号发生器电路设计:根据实际需求,设计不同类型的信号发生器电路,分析电路性能,优化设计方案。
4. EDA软件应用:教授学生如何使用EDA软件进行信号发生器电路的设计、仿真与调试,提高实际操作能力。
5. 硬件搭建与性能测试:指导学生搭建信号发生器硬件电路,进行基本性能测试,分析测试结果,找出问题并解决。
教学内容安排如下:1. 第1周:信号发生器原理与分类,电子元件特性分析。
EDA课程报告_正弦波信号发生器的设计
《EDA》课程设计报告——正弦波信号发生器的设计一、设计目的通过本次课程设计,进一步了解QUARTUS Ⅱ与LPM_ROM与FPGA硬件功能的使用方法。
培养自己查阅资料及解决问题的能力。
二、设计要求1、通过按键,可以控制输出的是正弦波或三角波。
2、通过ADC0832输出正弦波与三角波,电压V范围在0至-10V之间3、通过示波器观察波形。
三、设计内容:在QUARTUSII上完成信号发生器的设计。
最后在实验板上实测,包括FPGA中ROM的在系统数据读写测试和利用示波器测试。
信号输出的D/A使用实验板上的ADC0832。
四、设计原理:图1所示的波信号发生器的结构由五部分组成:1、计数器或地址发生器(这里选择8位)。
正弦信号数据ROM(8位地址线,8位数据线),含有256个8位数据(一个周期)。
2、VHDL顶层设计。
3、8位D/A图1所示的信号发生器结构图中,顶层文件adc.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由8位计数器担任;一个正弦数据ROM(或者一个三角波数据ROM),由LPM_ROM模块构成。
地址发生器的时钟clk的输入频率fo与每周期的波形数据点数(在此选择256点),以及D/A输出的频率f的关系是:f=fo/256图1 正弦信号发生器结构框图图一 信号发生器结构图FPGA DAC08328clk 运放Vo按键图2 信号发生器的设计图五、 设计步骤:1、 建立.mif 格式文件 mif 文件可用C 语言程序生成, 产生正弦波数值的C 程序如下: #include<stdio.h> #include<math.h>VHDL 顶层 设计adc.vhd 8位计数器 (地址发正弦波数据存储ROM18位D/A三角波数据存储ROM2按键3 20分频main(){int i;float s;for(i=0;i<256;i++){s=sin(atan(1)*8*i/256);printf("%d :%d;\n",i,(int)((s+1)*255/2)) }}以zx.c保存。
EDA课程设计_多功能波形信号发生器
目录摘要 (1)一、设计要求 (3)三、系统设计思路 (4)3.1 波形函数发生装置的选择 (4)3.2 波形输入输出控制方式的选择 (5)四、各模块设计及仿真 (6)4.1函数发生模块 (6)4.1.1 正弦波模块 (6)4.1.2 方波模块 (7)4.1.3 递增锯齿波模块 (9)4.1.4 递减锯齿波模块 (10)4.1.5 阶梯波模块 (12)4.1.6 三角波模块 (13)4.2调控模块 (15)4.2.1波形输出控制单元 (15)4.2.2波形输入控制单元 (16)4.2.3频率控制单元 (18)4.2.4幅度控制单元 (20)4.3 D/A转换器 (21)4.4 总电路 (24)五、硬件测试 (25)5.1编译 (25)5.2 引脚的锁定 (26)5.3编程下载 (27)5.4 硬件验证 (28)六、课程设计心得体会 (31)参考文献 (32)附录 (33)摘要本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。
它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。
可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。
实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。
在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。
在调控模块中实现了调频调幅以及对于波形的输入输出控制。
对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出,实现数模转换的同时,保持相应位的同步实现。
在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的输出波形,经过反复修改调试,最终试验成功。
eda课程设计 信号发生器
目录1. 引言 (1)2. VHDL语言及Quartus II软件介绍 (2)2.1 VHDL语言 (2)2.2 Quartus II软件 (2)3.总体设计思想及流程 (3)4. 具体程序实现模块 (4)4.1倍频器模块 (4)4.2主程序模块 (4)4.3 波形显示模块 (5)4.4频率显示模块 (5)5. 软件仿真 (6)6. 硬件显示 (7)7. 总结与体会 (8)参考文献 (9)附录 (10)附录1. 整体系统原理图 (10)附录2. 主程序 (11)1. 引言信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。
信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。
正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。
可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。
简易信号发生器是信号发生器的一种。
可以实现信号发生器的一些基本功能。
本次课程设计要求设计的是一种简易信号发生器。
在本设计中要求设计的简易信号发生器是采用VHDL来实现的简易多功能信号发生器。
它能产生正弦波,三角波和方波。
且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波;(2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、1KHz~10KHz、10KHz~1MHz三档频率的信号;(3)要求具有波形选择和频率选择的功能;(4)在同一频率档内,可实现频率的加减;(5)要求显示波形的同时能够进行频率的调节;(6)要求能够显示波形:A——正弦波;B——三角波;C——方波;(7)要求能够显示频率值;(8)可用示波器进行波形的观测。
2. VHDL语言及Quartus II软件介绍2.1 VHDL语言本次设计使用的是VHDL语言。
VHDL 的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982 年。
EDA基于DDS信号发生器
信息工程学院题目:基于FPGA的DDS基本信号发生器的设计姓名:杨天绘学号:201000800442班级:10级通信1班小组成员:杨天绘吴中一指导老师:郑亚民、董晓舟目录1设计要求 (3)2DDS的基本原理 (3)3基于FPGA的DDS设计 (3)4各模块的设计 (4)4.1累加器控制模块 (4)4.2波形选择及存储 (4)4.3幅度控制模块 (5)4.4DAC及LPF (5)4.5整个设计的顶层结构 (6)5结果及仿真 (6)6结语 (8)摘要:本设计基于DDS原理和FPGA技术按照顺序存储方式,将对正弦波、方波、三角波、三种波形的取样数据存储在三片ROM波形表里,通过键盘控制所需波形信号的频率,幅值,最终将波形频率通过数码管显示。
1设计要求设计一个信号发生器,实现:1)幅度可调;2)频率可调;3)输出波形(正弦波、方波、三角波等)可变。
利用Quartus II完成方案软件设计工作,并在EDA实验板上进行硬件测试。
2DDS的基本原理DDS信号发生器是由:频率控制字、相位累加器、ROM表、D/A转换器以及模拟低通滤波器LPF组成.原理框图如图1所示。
首先对波形的一个周期进行连续采样,通过计算得到每一点对应的幅度值,然后以二进制格式存放在数据文件中。
在时钟脉冲fclk驱动下,每个时钟周期内频率控制字与相位累加器累加一次,产生ROM查找表的地址值,随后通过查表变换,地址值被转化为信号波形的数字幅度序列,即可得到幅度上离散的波形,再由数模变换器(D/A)将表示波形幅度的数字序列转化为模拟量。
最后经由LPF将D/A输出的阶梯状波形平滑为所需的连续波形。
理论上,采样点数越多,生成波形精确度越高。
3基于FPGA的DDS设计本设计在Altera的EP1C3T100C8芯片的基础上,在QuartusⅡ开发环境下,。
开发语言用VHDL。
设计框图(RTL仿真图)如图3所示本设计中:1)输出频率fout=(2^N/2^M)*fclk,(M为相位累加器的位数,N为频率控制字的位数)本设计中,fclk是对clk12分频后的的信号。
调频信号发生器原理及制作
调频信号发生器的基本工作原理
调频信号发生器的方框图如下所示,它由场效应晶体管高频振荡器、双变容二极管、低频振荡器、低阻抗变换器、50欧衰减器等部分组成,完整电路如图2所示,
高频振荡器结构简单,只有一个波段,频率范围为8.6~11.5MHz,其10次谐波能完全覆盖由双变容二极管(BB294B型或KV1820型)与线圈L1一起完成,要求电容变化量为:
上述变容二极管的电容变化量能满足这个要求,线圈用30~36#规格的漆包线,在6mm的磁芯上绕30匝,并在5圈上抽头,或者5mm线圈袋39匝在5圈处抽头,两个线圈串联,电感量在5.5-10uH之间可调。
VRD1变容二极管与R9和R10连接,直流电们允许在0.8V-9.6V之间变化,最小电压由RV3调节,这时度盘刻度在180,最大电压由RV2调节,其值约为:
场效应管Q1为高频振荡器,性能稳定,振幅在整个频度范围内几乎不变。
Q1的漏极输出约2V。
R12和R13组成分压器,以减小输出强度。
Q2与源极跟随器。
EDA实训函数信号发生器
《EDA技术与应用》实训报告学号姓名指导教师:实训题目:1.系统设计1.1 设计要求1.1.1 设计任务设计一个多功能信号发生器1.1.2 技术要求①能够产生两种或以上种输出波形(正弦波、三角波、锯齿波等)。
②输出的波形的频率允许有多种选择。
③输出波形的幅度在1V~5V范围内。
④输出的波形能够用示波器测量。
1.2 方案比较运用了我们所学的Vverilog VHDL 语言及Quartus II 7.0软件,来完成并实现这一次实训。
1.3 方案论证1.3.1 总体思路多功能信号发生器的原理框图如图所示。
其中,fpq是分频器,用于对EDA实训仪主板上提供的20MHz的主频率进行分频,以得到满足多功能信号发生器设计需要的时钟频率,clk 是20MHz的主频率输入端,step是步长控制端,当step为0或1时,分别控制分频器的分频比,并由clk_out端输出到Lpm_counter0中,Lpm_counter0是参数可设置的计数器,用于产生lpm_rom4 ,lpm_rom2和lpm_rom3的8位位置,并从q[7..0]端输出到数据选择器abcd 中,并通过s1和s2的组合进行选择。
lpm_rom4, lpm_rom2和lpm_rom3是参数可设置的只读存储器,用于存放多功能信号发生器的波形数据信号,其中lpm_rom3存放的是正弦波,lpm_rom2中存放的是锯齿波,lpm_rom4中存放的是方波。
而波形的产生还需要一份Mif文件。
Mif是将波形分成N个点并将各点输入只读存储器中,这样只读存储器就可以输出相应的波形数据。
只读存储器的位置输出端接于s数据选择器的输入端abcd,而数据选择器便可通过改变从存储器中接受的数据进行区分选择,逐步地取出波形数据,经D/A转换后产生模拟波形输出。
1.3.2 设计方案2.各个模块程序的设计1分频器:module fpq(clk, newclk,step);input clk,step;output newclk;reg newclk;reg[24:0] cnter,sc;reg[1:0] stepcnt;always @(posedge step)beginstepcnt=stepcnt+1;beginif (stepcnt == 0) sc = 2000;else if (stepcnt == 1) sc = 200;else if (stepcnt == 2) sc = 20;else if (stepcnt == 3) sc = 2;else sc = 2000;endendalways @(posedge clk)beginif (cnter < sc) cnter = cnter+1;else cnter = 0;if (cnter < sc/2) newclk = 'b1;else newclk = 'b0;endendmodule2 数据选择器:module jsq(q,a,b,c,d,k1,k2);input k1,k2;input [7:0]a,b,c,d;output reg [7:0]q;always @(k1 or k2)begincase ({k1,k2})'b00: q=a;'b01: q=b;'b10: q=c;'b11: q=d;endcaseendendmodule3.整理与调试过程将示波器的探头与试验箱上DAOUT及GND进行连接,将试验箱接通电源,此时便产生了20MHz的时钟信号,由拨码开关s14和s15分别控制正弦波,方波,锯齿波与三角波的输出,可以在示波器上看到波形成,但是还需要在示波器上调整波的幅度等参数使之形成清晰稳定的波形。
基于EDA Verilog信号发生器
基于EDA Verilog信号发生器介绍信号发生器是测试和调试电路的一种重要工具。
它可以产生各种类型和频率的电信号,并且能够精确控制信号的参数,如振幅、频率和相位。
在电子设计自动化(EDA)领域中,使用Verilog语言编写的信号发生器可以方便地生成所需的信号并集成到电路设计中。
本文将介绍基于EDA Verilog的信号发生器的原理和实现方法,并提供相应的代码示例。
通过这个例子,读者可以了解到如何使用Verilog语言实现一个简单的信号发生器,并将其应用于各种电路设计和测试场景中。
原理信号发生器的原理非常简单。
它通过产生周期性的信号波形,如正弦波、方波、矩形波等,来模拟不同类型的电信号。
Verilog语言提供了一系列的内置函数和模块,可以方便地生成这些信号。
这些内置函数和模块可以集成到电路设计中,并通过控制参数来调整所生成信号的类型和参数。
信号发生器的设计通常包括以下几个基本步骤:1.定义信号类型:确定需要生成的信号类型,如正弦波、方波等。
2.设置信号参数:根据需求设置信号的参数,如频率、振幅、相位等。
3.生成信号波形:使用Verilog提供的内置函数和模块生成相应类型的信号波形。
4.集成到电路设计中:将信号发生器集成到电路设计中,并根据需要调整参数和连接信号源。
代码示例以下是一个简单的基于EDA Verilog的信号发生器的代码示例,生成一个正弦波信号:module signal_generator(input wire clk,input wire reset,output reg signed [7:0] wave);reg signed [15:0] phase;reg signed [15:0] freq_counter;reg signed [15:0] amplitude;reg signed [15:0] amplitude_counter;parameter signed [15:0] frequency = 1000;parameter signed [15:0] amplitude_max = 255;always @(posedge clk or posedge reset) beginif (reset) beginphase <= 0;freq_counter <= 0;amplitude <= amplitude_max;amplitude_counter <= 0;end else beginfreq_counter <= freq_counter + frequency;amplitude_counter <= amplitude_counter + 1;if (amplitude_counter >= amplitude) beginamplitude_counter <= 0;phase <= phase + 1;endendendalways @(posedge clk) beginwave <= $sin(phase);endendmodule代码解释上述代码实现了一个简单的信号发生器,通过给定的频率和振幅参数生成一个正弦波信号。
EDA课设报告--信号发生器的方案设计书
封面作者:PanHongliang仅供个人学习目录摘要 (2)Abstract (3)绪论 (4)1.V H D L简介 (5)1.1 VHDL的特点 (5)1.2 VHDL发展史 (5)2.设计的方案确定 (6)2.1.AD558工作原理 (6)2.2设计方案 (7)3.设计流程 (8)4.结束语 (14)5.参考文献 (15)附录 (16)摘要本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。
为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。
最后还附加了实现设计的VHDL源程序。
关键词:VHDLD/A接口设计AbstractThis manual introduces the VHDL language features and development history。
followed by a brief description of the D/A interface and the working principle and design ideas and the way that the design program was confirmed。
and then I explain the emphasis on the use of VHDL language to design D/A interface and the specific steps and the main process. In order to explain in more details of the main process I also attached the corresponding pictures. Finally I added the VHDL design source codes in the addendum.Keywords: VHDL D/A Interface Design绪论EDA是电子设计自动化(Electronic Design Automation)的缩写。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
湖南人文科技学院课程设计报告课程名称:VHDL语言与EDA课程设计设计题目:调频信号发生器系别:信息学院专业:电子信息工程班级:一班学生姓名: 姚靖瑜何渡余建佳学号: 13409112 13409115 13409120 起止日期: 2016年6月16日指导教师:姚毅教研室主任:指导教师评语:指导教师签名:年月日成绩评定项目权重成绩1、设计过程中出勤、学习态度等方面2、课程设计质量与答辩3、设计报告书写及图纸规范程度总成绩教研室审核意见:教研室主任签字:年月日教学系审核意见:主任签字:年月日摘要本文介绍一种利用EDA技术和VHDL语言,在QuartusⅡ环境下,设计的一种调频信号发生器。
EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。
介绍一种基于DDS 原理,并采用FPGA 芯片和VHDL 开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案和在GW48 CK型EDA 集成电路开发系统上实现的实验结果。
关键词:调频;信号发生器;DDS;FPGA;VHDL;QuartusⅡ目录设计要求 (1)1、方案论证与对比 (1)1.1方案对比 (1)1.2方案选择 (2)2、工作原理及过程 (2)2.1DDS的基本原理 (2)2.2基本流程图 (3)3、模块设计 (3)3.1MATLAB设计 (3)3.1.1 顶层原理图设计 (3)3.1.2 Smulink模型仿真 (4)3.1.3 Signalcompiler的使用 (4)3.2Q UARTUS II设计 (6)3.2.1 顶层原理图模块 (6)3.2.2 高速A/D转换器TLC5510 (6)3.2.3 调试与操作说明 (7)3.2.4 顶层文件设计 (8)4、问题分析 (9)5、心得体会 (9)6、元件清单 (10)7、致谢 (10)参考文献 .................................................................................................. 错误!未定义书签。
附录一AD5510控制程序 .. (11)附录二顶层文件程序 (12)调频信号发生器设计要求1)能将FPGA内部产生的低频信号进行调制,也能选择外部输入的幅度小于4V的低频模拟信号进行调制2)输出信号的载波频率为100KHz。
3)输出波形峰-峰值大于2V。
1、方案论证与对比1.1方案对比方案1:使用DDS 芯片控制产生低频信号和高频载波信号,使ADC0509 采样外部的低频信号。
以单刀双掷开关选择内部或外部信号输入,在示波器上显示调制波形。
原理图1如下:图1 方案一原理图方案2:基于EDA技术,由软件MADLAB和DSP Builder设计完成调频信号发生器模块的原理图。
然后通过MADLAB中的Signa Compiler将模块转换成VHDL语言。
再在QuartusII中编译、仿真、下载完成设计要求。
原理图2如下:图2 方案2原理图1.2方案选择方案一由于DDS芯片产生的信号波形、功能和控制方式固定,不能满足具体需求;方案二则是基于硬件设计的语言,很容易对硬件电路实现编程下载。
经比较我们选择了方案二。
2、工作原理及过程2.1 DDS的基本原理直接数字频率合成技术(Direct Digital Frequency Syn2thesis ,DDFS ,简称DDS) 是从相位概念出发直接合成所需波形的一种新的频率合成技术[1 ] 。
他在相对带宽、频率转换时间、相位连续性、正交输出、高分辨率以及集成化等一系列性能指标方面已远远超过了传统频率合成技术[4 ] 。
当累加器的N 很大时,最低输出频率可达Hz ,mHz ,甚至μHz级,即DDS 的最低合成频率接近于零频。
如果f c 为50 MHz ,那么当N 为48 位其分辨率可达179 nHz。
转换时间最快可达10 ns 的量级,这都是传统频率合成所不能比拟的。
图3 所示为正弦输出的DDS 原理图。
图3 DDS原理图DDS 频率合成原理相位累加器的输入为频率控制字Δphase。
输出正弦波时,RAM 中存有一个周期正弦波波形取样点幅度值的编码,取样点数为2N 个。
在外部时钟的控制下,每来一个时钟,加法器将对被锁定的频率控制字Δphase 与累加器输出的累积相位数据不断累加,输出的相位序列(即相位码) 作为地址去寻址RAM,同时使RAM 存储器将相应地址单元的离散的正弦幅度编码输出。
该幅度码经过D/ A 变换后得到模拟的阶梯电压,再经过低通滤波器平滑后,得到所需的正弦信号。
当累加器的累积相位数超过2N 时,将该数减去2N作为初值再从头开始累加,如此不断循环。
在正弦波输出时,设DDS 的时钟频率为f clk ,频率控制字为Δphase ,相位累加器的位数为N ,则DDS 的输出频率为:f o = Δphase 3 f clk / 2 N (1)其中f clk / 2 N为频率分辨率,他由相位累加器的位数N 决定。
所以在DDS 结构及参考时钟确定的前提下,通过控制Δphase 就可以方便地控制输出频率f o 。
2.2 基本流程图图4 设计基本流程图3、模块设计3.1 MATLAB 设计3.1.1 顶层原理图设计其中元件AltBus3、ADDER1、Dly1和Bus4构成DDS1模块,产生调制波信号;AltBus2、ADDER4、Dly2和Bus5构成DDS2模块;Bus1、Bus2和input 组成输入控制字;Bus6、ADDER6、Busconcatenation 和inpunt1组成的是载波控制字;input3、input4和n_to_1 multiplexer 构成的是二选一控制器,input4端口输入的是内部信号,input1模块是加入的由tcl5510控制的外部高频信号。
Output 锁定的是FPGA 的高速DA 转换器将数字信号转化为模拟信号。
顶层原理图5如下;MATLAB/simulink 建模 系统仿真 转换成VHDL 语言 引脚锁定 下载/配置及实时测试测试和用示波器观察TLC5510的VHDL 语言打包成模块原理图建立成模块图5 顶层原理图3.1.2 Smulink模型仿真在模型编辑窗口中选择Simulink下的Statr命令,开始仿真,等待仿真结束,双击SCOP模块,打开Scope窗口。
调频信号发生器的仿真结束结果如图6所示:图6 MATLAB 仿真波形3.1.3 Signalcompiler的使用在Simulink中完成方正验证后,就需要将涉及转移到硬件上加以实现。
这是整个DSP Builder设计流程中最关键的一部,据此可以获得FPGA的VHDL RLT代码。
a、分析当前的模型双击模型中的Signalcompiler模块,单机Analyze按钮后,Signalcompiler就会对模块进行分析,检验模型又没有错误。
并在MATLAB主窗口弹出对话框,给出相关信心。
若又错误存在,Signalcompiler就会停止分析过程。
并把错误信息显示在MATLAB主窗口中;反之,在分析结束后打开Signalcompiler窗口。
b、设置Signalcompile在Signalcompiler窗口中,显示三个部分:1)项目设置选项Project Setting Options。
2)硬件编译流程Hardware Compilation。
3)信息框Message。
Signalcompile的设置都集中在项目设置部分。
在Device下拉列表中选择需要的器件系列,本实验选择Cyclone系列。
在Synthesis(综合)下拉列表中选择综合器件,共又三个选择,此处选择QuartusII。
c、把模型文件MDL转换成VHDL设置好Device和Synthesis后,右侧的硬件编译就会列出一个操作流程:1)Convert MDL to VHDL:将MDL转换成VHDL文件。
2)Synthesis:对转换好的VHDL文件进行综合。
3)QuartusII:Quartus编译适配,生成变成文件。
单机步骤1)的图标,完成Simulink文件到VHDL文件的转换。
转换完成后,在Message提示框中,显示Generated top level“fm”files,即顶层文件转换完成。
若有错误在Message提示框中会有简短的提示。
d、综合Synthesis单机步骤2)的图标,完成综合过程。
e、QuartusII适配单机步骤3)的图标,Quartus完成编译适配的过程,生成变成文件,即.pof文件和.sof文件。
3.2 QuartusII设计3.2.1 顶层原理图模块在QuartusII软件中打开从MATLAB中转换过来的工程文件,注意在自动生成的VHDL语言(见附录二)里的断口处添加“clock1 : out std_logic;clock2 : out std_logic;”语句,在“end architecture aDspBuilder;”之前添加“clock1<=clock; clock2<=clock; ”语句。
将VHDL语言打包成模块如图所示。
其中clock与AD5510的clk相连,接外部输入;sclrp接清零信号;intput[9:0]接AD5510的dout[7:0];input1[4;0]和input分别锁定试验箱的按键(引脚图)input4锁定按键控制选择内、外的调制波。
3.2.2 高速A/D转换器TLC55101)控制程序和模块TLC5510控制程序见附录一。
TLC5510控制模块如图8,oe为复位信号;din[7:0]接外部时钟信号;为clk接外部时钟信号,与模块fm的clock相连。
2)TLC5510引脚图级说明TLC5510 24引脚。
POSP表贴封装形式(NS)。
其引脚排列如图9所示:各引脚功能如下:AGND:模拟信号地;ANALOGIN:模拟信号输入端;CLK:时钟输入端;DGND:数字信号地;D1~D8:数据输出端口。
D1为数据最低位,D8为最高位;OE:输出使能端。
当OE为低时,D1~D8数据有效,当OE为高时,D1~D8为高阻抗;VDDA:模拟电路工作电源;VDDD:数字电路工作电源;REFTS:内部参考电压引出端之一,当使用内部电压分压器产生额定的2V基准电压时,此端短路至REFT端;REFT:参考电压引出端之二;REFB:参考电压引出端之三;REFBS:内部参考电压引出端之四,当使用内部电压基准器产生额定的2V基准电压时,此端短路至REFB端。