Debussy软件教程

合集下载

基于modelsim和debussy的批处理仿真

基于modelsim和debussy的批处理仿真

基于modelsim和debussy的批处理仿真本文将会叙述采用批处理的方法进行modelsim结合debussy仿真调试。

目的在于提高仿真效率。

该流程只适用于FPGA的前仿真,使用verilog文件以及测试文件。

如此操作有以下几个优点:1、虽然开始的时候需要稍作准备,但等你完成了一次仿真后,以后只需要略微修改一下就可以直接应用到其他工程里边去。

相对于采用用modelsim的界面操作,省去了很多例如创建工程、添加仿真文件、进行编译、进行仿真等等节省了宝贵的工作时间。

当然可以提高工作效率。

2、文件管理非常清楚。

所有的verilog文件都放在一个文件夹,所有仿真需要的文件以及仿真结果都放在另外一个文件里边,而且仿真后的文件也相对于使用modelsim界面操作仿真结果要少。

3、还有就是,因为没有界面操作,仿真速度会快很多,特别是采用modelsim进行稍微大的仿真的时候,这时候采用这种方法的优势就更加明显了。

以下是进行仿真前的准备工作第一步:安装modelsim、debussy软件以及编译相应的仿真库,如何安装以及破解,网络上有很多的资料说明,在这里就不详细说了。

对于仿真库的编译,也有很多的方法,可以参考文章《modelsim添加仿真库方法》by Craftor。

许多工程师也在自己的博客写过或转载过相似的库编译的方法。

这些都是我进行批处理仿真前已经做好了。

我的软件都是装在D盘分别是:D:\modeltech_6.5e和D:\Novas\Debussy第二步:创建do文件。

如下(行号不需要):1、vlib work2、vlog-f vfile.f-incr3、vsim-L xilinxcorelib_ver-L unisims_ver-L simprims_ver-L uni9000_ver-L unisims_ver-pli novas_fli.dll-lib work tuner_iic_tb4、run40ms5、exit然后保存为sim.do解析:1表示创建仿真工作库,也就是将你编译文件之后的文件存在这个work文件夹下。

博士德软件的使用方法

博士德软件的使用方法

博士德软件的使用方法
博士德软件是一款非常强大的数据分析软件,可用于统计分析、建模、预测等各种数据处理工作。

以下是博士德软件的基本使用方法:
1. 下载和安装:首先,你需要前往博士德软件的官方网站下载安装包,并按照安装向导进行安装。

2. 启动软件:安装完成后,双击桌面上的博士德软件图标,启动软件。

3. 导入数据:在软件界面上,点击菜单栏的“文件”,选择“导入”选项,然后选择你需要分析的数据文件,并点击“打开”按钮。

4. 数据处理:博士德软件提供了丰富的数据处理功能,包括数据清洗、过滤、转换等功能。

你可以根据需要,使用这些功能对数据进行预处理。

5. 统计分析:博士德软件支持各种统计分析方法,比如描述性统计、假设检验、方差分析等。

你可以在软件界面上选择相应的工具,进行统计分析。

6. 数据建模:博士德软件还支持数据建模功能,你可以使用线性回归、逻辑回归、决策树等方法构建模型,预测数据的趋势和结果。

7. 可视化展示:博士德软件提供了丰富的数据可视化功能,可以帮助你更直观
地理解数据。

你可以选择不同的图表类型、颜色和样式,创建漂亮的图表和图形。

8. 输出结果:完成数据分析后,你可以将分析结果导出为各种常见的文件格式,比如Excel、PDF等。

此外,博士德软件还支持将结果以图像方式保存,方便在其他文档中使用。

总而言之,博士德软件是一款功能丰富且易于使用的数据分析软件,可以帮助用户进行各种数据处理和分析任务。

通过熟练掌握其基本使用方法,你可以更高效地进行数据分析工作。

Debussy是一个VerilogVHDL调试工具

Debussy是一个VerilogVHDL调试工具

介绍一、Debussy是一个Verilog/VHDL调试工具Debussy是一套很好的Verilog/VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率。

二、Debussy包含软件模块Debussy主要有以下几个模块:1、nTrace:超文本连接方式的源代码追踪及分析2、nSchema:原理图显示及分析3、nWave:波形显示及分析4、nState:有限状态机的显示及分析5、nCompare:分析仿真结果,比较其相异处。

Debussy的五个组成部分nTrace, nWave, nSchema, nState, nCompare在FSDB和Knowledge Database (KDB)的支持下高度集成。

三、Debussy功能Debussy直接编译Verilog/VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。

在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。

Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF支持的波形格式:vcd,fsdb,模拟波形文件(PowerMill,TimeMill,Avant! Star-Sim,SmartSpice)支持的仿真器:VCS,Verilog-XL,NC-verilog,NC-VHDL,Modelsim,Leapfrog,Ploaris。

操作一、启动1. 启动%debussy启动debussy时,弹出一个nTrace窗口,包含三个子窗口:a、左边是Hierarchical Browser窗口,用来显示设计的层次(design hierachy)。

b、右边是Source Code window,显示源代码。

跟踪信号的驱动、负载。

c、底下是Message window(消息窗口),用来报告操作的结果。

2.导入设计File->Import DesignDebussy提供了两种导入设计的方法:A、从设计源文件直接导入B、从库导入(先将源文件编译成库)Note: 可以用命令的方式直接启动debussy并导入设计文件,即在命令行上列出所有的选项和源文件,或者把这些选项和源文件写到一个文件中,用-f选项指定这个文件。

debussy使用指南

debussy使用指南

Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
Debussy功能及包含软件模块
Debussy功能
Debussy直接编译Verilog\VHDL源代码,并且采用了预综合技术 识别电路的单元,可以生成原理图。在导入仿真结果后,可以用 Active Annotation在源代码、原理图、状态图上动态显示变量的 仿真值
nWave 的使用 (Cont.)
4. 選擇欲觀察的signal,以中鍵按住拖曳到右邊的視窗, 如圖所示。
い龄╈Σ:
娩跌怠
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
nWave 的使用 (Cont.)
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
nTrace 的使用 (Cont.)
¤ Â_
ÂI ªº
²Å
¸¹
¼Ð°O
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
Liyong xjtu’soc center
Institute of Artificial Intelligence and Robotics
nSchematic 的使用
1. 在 Import Design 完成之後,進入 Interactive Mode。 2. 按 New Schematic icon ,會出現程式相對應的電路 圖。

Synopsys 系列软件破@解及安装配置教程

Synopsys 系列软件破@解及安装配置教程
HSI_HOME=/synopsys/hsimplus2008
export PATH=$PATH:$HSI_HOME/hsimplus/bin
#######################################################
HSP_HOME=/synopsys/hspice2008
# Synopsys license
#####################################################
ASTRO_HOME=/synopsys/astro2006
PATH=$PATH:$ASTRO_HOME/bin:$ASTRO_HOME/bin/IA.32
DAEMON snpslmd /home/eda/linux/bin/snpslmd
其中:snpslmd 指向 SCL 的 snpslmd。
二、安装软件:
使用 installer2.0 图形界面安装十分方便,这个就不用多说了,最重要的就是 lic 和环境
变量的配置了。
三、配置软件:
1、我的软件都安装在/synopsys 下,我的.bashrc 文件配置如下:
alias dv='design_vision'
alias dvt='design_vision -tcl_mode'
#####################################################
FM_HOME=/synopsys/fm2006
export PATH=$PATH:$FM_HOME/bin
#####################################################

debussy使用技巧

debussy使用技巧

debussy使用技巧Debussy使用技巧德彪西(Claude Debussy)是20世纪最重要的法国作曲家之一,他的音乐作品被誉为印象派音乐的代表之一。

他以其独特的和声和音色表达方式闻名于世。

本文将介绍Debussy在作曲过程中所使用的一些技巧和创新。

一、和声技巧1. 平行和声:Debussy常常使用平行和声来创造独特的音乐效果。

他在《云杜鹃》中使用了平行五度和平行八度,使得音乐具有迷离的感觉。

2. 和声色彩:Debussy注重和声的色彩,他使用了丰富的和弦变化和非传统的调性,创造出独特的音乐氛围。

例如,在他的钢琴曲集《图画集一》中,他使用了大量的全音阶和半音阶来表现自然景色,使得音乐具有画面感。

二、节奏和节拍1. 弹性的节奏:Debussy经常使用弹性的节奏,即不拘泥于固定的节拍,给人一种自由流动的感觉。

他在作品中常常使用了不规则的节拍,如《月光》和《下午的前奏曲》。

2. 重复的节拍:Debussy经常使用重复的节拍来增强音乐的韵律感。

通过反复强调某个特定的音符或音符组合,他创造出了独特的韵律效果。

三、音色表达1. 钢琴的音色变化:Debussy在钢琴作品中非常注重音色的变化,他通过使用不同的演奏技巧和音符组合,创造出丰富多样的音色效果。

例如,在《月光》中,他使用了柔和的演奏方式和大量的颤音来表现夜晚的宁静。

2. 管弦乐的音色组合:Debussy擅长运用管弦乐的不同乐器来创造独特的音色效果。

他善于使用不同的组合和变化来表达不同的情感和意境。

在《海》这首交响诗中,他使用了大量的木管和弦乐器来表现海洋的波涛汹涌。

四、音乐结构和形式1. 模糊的音乐结构:Debussy的音乐结构常常不规则和模糊,他不拘泥于传统的形式和发展性结构,而是追求音乐表达的自由和灵感。

他善于使用重复和变奏来创造出独特的音乐结构,使得音乐具有迷幻的效果。

2. 增强的音乐表达:Debussy注重音乐的表达力,他常常使用各种技巧来增强音乐的情感和意境。

DISCOVER S 操作规程(Seynergy软件版)1.0

DISCOVER S 操作规程(Seynergy软件版)1.0

DISCOVER-SCLASS操作规程(软件版)1.检查仪器使用前情况是否正常。

如仪器、计算机,相关连接线,电源,冷却气等。

2.先启动仪器主机,再启动计算机,然后打开冷却气阀门。

3.点击计算机桌面图标:synergy,并在弹出菜单中输入用户名和密码。

4.进入Synergy软件主界面Synergy软件主界面包括:菜单栏、快捷键栏、用户的反应方法和数据管理区域、方法参数区域、实时反应数据显示和图表区域,状态栏。

菜单栏:在屏幕的最上方,包含仪器设置和操作。

快捷键栏:在菜单栏下方,将常用功能通过快捷键来方便用户操作。

(1)方法快捷键:包括方法的新建、编辑、拷贝、删除。

(2)运行控制快捷键:包括反应的开始、暂停、停止。

(3)数据管理快捷键:包括历史数据的数据、图形、报告。

(4)反应实时调节快捷键:可以实时调节在反应过程中的最大微波功率、反应温度、反应时间、最大反应压力、冷却、搅拌。

用户的反应方法和数据管理区域:在屏幕左边,可以对不同用户名下的方法和数据进行管理。

方法参数区域:在屏幕中间,显示当前运行反应的设定参数,或者显示当前选择反应的设定参数。

实时反应数据和图表区域:在屏幕右上方部分是显示反应过程中的时间、温度、压力、功率数值。

在屏幕右下方部分是反应的温度、压力、微波功率曲线图。

状态栏:在屏幕最下面,从左到右分别显示仪器状态、反应方法的名称、登录用户名、冷却状态、搅拌状态、主机和计算机的联机状态。

5.主要相关设置:1)“FILE”中“Log Off”可以注销当前用户,从新登录。

“Change Password”可以更改当前用户的密码。

2)“Options”中“Network Setup”,在Communication Type中选择Network,并设置IP Address:192.168.1.60。

同时设置计算机IP Address:192.168.1.10。

3)“Options”中“Manage User”,可以新建用户,删除用户,修改用户权限。

debussy使用技巧

debussy使用技巧
在你启动debussy 到退出debussy 之前是一段很长的调试过程,你可以保存当前的调试环境,并在下次调用debussy 的时候使用。Debussy 自动把当前的调试环境保存在工作目录下的DebussyLog 的lastSession.ses 中。File->Save Session 保存当前调试状态,下次启动后File->Restore Session.
(三) 如何在波形窗口,源代码窗口中追溯信号的驱动源?
在波形窗口中我们可以通过双击信号的波形来追溯信号的驱动源,从而定位错误出现的位置。在源代码窗口中可以通过双击信号的名字来追溯该信号的驱动源。在源代码窗口中也可以通过右键菜单来实现这个功能。在窗口中选择Trace->Reset Traced Signals’s Color 可以取消追溯过程中带来的信号高亮度的问题。一般情况下我们是从信号的波形图中某一时刻的波形异常处双击该波形,追溯到源代码窗口中的对应赋值语句,从而找到该时刻的驱动源。
(九) 如何给信号起别名?
在某些情况下,为了分析方便,如分析状态机状态跳变,我们可以通过使用起别名的方法。通过使用Waveform->Signal Value Radix ->Add Alias from File 或者Waveform->Signal Value Radix ->Browse Alias 命令来实现。
在很多调试情况下,在源代码窗口显示信号或者总线的数值也有一定的好处。nTrace提供了这样一个功能,Source->Active Annotation。信号值显示Cursor 当前时刻的数值。在原理图窗口中也可以反标,Schematic->Active Annotation。
(六) 如何保存当前调试的所有设置和界面?

Debussy 仿真快速上手教程

Debussy 仿真快速上手教程

Debussy 仿真快速上手教程Debussy 介绍Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。

可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这套软体呢? 其实Debussy v5.0以后的新版本,还提供了nLint -- check coding style & synthesizable,这蛮有用的,可以协助工程师了解如何写好coding style,并养成习惯。

下图所示为整个Debussy 的原理架构,可归纳几个结论:Debussy有四个主要单元(component),nTrace、nWave、nSchema、nStatenTrace -- Hypertext source code analysis and browse tool (为%Debussy &所开启的主画面)nWave -- Waveform analysis tool (可由nTrace内开启,或直接%nWave &开启)nSchema -- Hierarchy schematic generatornState -- Finite State Machine Extraction and analysis toolDebussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化快速上手五部曲:(Debussy v.5.2)1. Import Files and generate FSDB file2. Trace between hierarchy browser and source code3. Trace between hierarchy browser、source code and schematic4. Trace between hierarchy browser、source code、schematic and waveform5. nLint(nState本文没介绍,有兴趣的读者,请依文后的连结,自行下载CIC所提供的NOVAS原厂编写教材参考)1. Import Files and generate FSDB file1-1 启动Debussy:% Debussy & (此处的D大小写都可以,但其它指令的大小写可能就有差别)开启nTrace window如下,此时工作目录下会新建一个"DebussyLog"目录1-2 Import Files:File \ Import Design...结果如下图所示:nTrace视窗中,含有三个区域,Hierarchical Brower、Source code window、Message window。

Debussy仿真快速上手教程

Debussy仿真快速上手教程

目录Debussy 仿真快速上手教程错误!未定义书签。

Debussy 介绍......................................................................................... 错误!未定义书签。

1. Import Files and generate FSDB file ............................................. 错误!未定义书签。

1-1 启动Debussy ........................................................................... 错误!未定义书签。

1-2 Import Files ............................................................................... 错误!未定义书签。

1-3 设定外部模拟器 ..................................................................... 错误!未定义书签。

1-4 切换到Interactive Mode ......................................................... 错误!未定义书签。

1-5 结束程式 ................................................................................. 错误!未定义书签。

1-6 快速启动执行序 ..................................................................... 错误!未定义书签。

1-7 其他启动Debussy的方法...................................................... 错误!未定义书签。

Modelsim与debussy在FPGA仿真和debug中的应用

Modelsim与debussy在FPGA仿真和debug中的应用

Modelsim与debussy在FPGA仿真和debug中的应⽤仿真是FPGA设计中的⼀个⾮常重要的环节,但很多朋友在做FPGA设计的过程中,却并没有意识到仿真的作⽤,也没有⼀个好的仿真流程来保证仿真验证的效果。

我们做仿真的⽬的是要通过仿真找到设计中潜在的问题并解决之,这就需要⼀个debug 的过程。

在这篇⽂章中,会讨论⼀下我对FPGA设计中仿真验证和debug的看法,也介绍⼀下modelsim+Debussy在仿真和debug中的应⽤流程。

记得在学校的时候,搭硬件系统要⽤到FPGA。

那时候没有任何FPGA设计的经验,也没有好的设计流程可以参考。

在做设计的时候,基本上是很随意的去写代码,很少顾及到甚⾄很基本的设计原则。

代码写好后,尽管有验证代码正确性的意识,但并没有成熟可靠的⽅法可以使⽤,基本上就是画⼀些简单的波形作为激励信号,通过开发软件⾃带的仿真⼯具,⼤概看⼀下输出的波形是不是正确。

这样做存在很多问题。

⾸先测试覆盖率⾮常的低,激励信号不可能做的很复杂,不可能涵盖所有需要验证的设计环节;再者就是要看被测设计的输出波形来检验设计是否正确,这样就需要⼤量的时间,效率很低。

在发现设计有问题的时候,检查设计代码,也⽤很原始的⼿段,⼀⾏⼀⾏的去查看,没有意识到或者说根本就不知道有⼯具软件可以来做trace。

更通常的验证⽅法就是在FPGA程序写好以后,综合出来,烧录到实际的系统中,在系统上直接验证。

但这种做法,效率低下且有问题的话,很难debug。

另外⽐较糟糕的是很难发现⼀些⽐较特殊的问题。

后来在公司的时候,做IC设计,公司有⽐较成熟和完善的设计流程。

主要⽤NC来做仿真,⽤debussy来做debug。

成熟和完善的设计流程带来的就是⽐较⾼的仿真的效率和⽐较⾼的覆盖率,我们在debug的时候,基于⽐较好的流程和⽅法,效率也⽐较⾼。

数年⼯作下来,让我建⽴起了⼀个基本的仿真验证的概念,这个时候,再回头看当初做FPGA设计的时候所遇到的问题,感触颇深。

Debussy简易教程

Debussy简易教程

酷挖网网上冲浪更快更爽,下载带有Google 工具栏的FirefoxDebussy & (此處的D大小寫都可以,但其它指令的大小寫可能就有差別) 開啟nTrace window如下,此時工作目錄下會新建一個"DebussyLog"目錄1-2 Import Files: F ile \ I mport Design...結果如下圖所示:nTrace視窗中,含有三個區域,Hierarchical Brower、Sou rce code window、Message window。

(如果不想看波形,只想查看source code與schematic的關係,您可以直接跳到step 2-1)此時DebussyLog目錄內會有Debussy.cmd、turbo.log、compiler.log三個檔案。

Debussy.cmd紀錄所有import的檔案與之後所有的執行程序。

我們可以直接引用這個檔案來執行上一次我們做過的一連串程序(refer to 1-5)。

1-3 設定外部模擬器,藉其產生FSDB file:Too l s \ O ptions \ P referenc es...Source code目錄下,要有先前ModelSim所建立的此project的work library,不然會有錯誤訊息:Failed to access library 'work' at "work"。

另外,你不能只是開一個work資料夾,而是要真的用ModelSim產生work library,並且把design compile to the work library後,step 1-4才會正確動作。

1-5 結束程式: F ile \ Exit1-6 快速啟動執行序把DebussyLog目錄下的指令記錄檔Debussy.cmd複製到目前工作目錄下,重新編輯此檔(刪掉最後一行的"debExit"然後儲存),引用它來開啟Debussy可重複之前同樣的動作程序%Debussy -play Debussy.cmd & (結果相當於從步驟1-1做到1-4)1-7 其他啟動Debussy的方法% Debussy -f xxx.f (類似開啟一個事先編寫的批次檔,可以同時一次載入多個. v)% Debussy -vhdl -f xxx.f (類似開啟一個事先編寫的批次檔,可以同時一次載入多個.vhd)以上都是屬於Import design from file的方法,另外還有一種方法是Import design from library。

Debussy调试工具理解

Debussy调试工具理解

Debussy调试工具理解Debussy产品简介由NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool非常实用的Verilog\VHDL调试工具,可以帮助设计者快速理解复杂的设计(设计小组中别人开发的复杂、不熟悉的代码或者IP),查找和定位设计中存在的bug,提高效率,缩短产品上市时间。

主要不是用来跑仿真或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、 waveform、state bubble diagram 之间,即时做trace,协助工程师进行调试。

组成单元有四个主要单元(component),nTrace、 nWave、nSchema、nState✧nTrace --超文本连接方式的源代码追踪及分析 (为%Debussy &所开启的主画面)✧nWave –波形分析工具 (可由nTrace内开启,或直接%nWave &开启)✧nSchema --原理图生成及分析✧nState --有限状态机的提取及分析Debussy功能Debussy直接编译Verilog\VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。

在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。

Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF支持的波形格式:vcd,fsdb(fast signal database,比vcd文件小5-50倍),模拟波形文件(PowerMill,TimeMill,Avant! Star- Sim,SmartSpice)支持的仿真器:Verilog-XL,NC-verilog,NC- VHDL,Leapfrog,Modelsim,VCS,Ploaris关于FSDB文件格式Debussy支持的波形格式是fsdb,是Fast Signal Database的简写。

modelsim_debussy_nlint综合使用方法

modelsim_debussy_nlint综合使用方法

Modelsim_debussy_nlint综合使用方法1软件安装根据软件的安装说明分别安装Modelsim、Debussy、Nlint的软件并将其破解。

三种软件最好不要安装在Program Files文件夹下,因为有时采用命令行调用软件时,文件名中间的空格可能会引起不必要麻烦。

1.1破解Modelsim在C盘下创建flexm文件夹,将keygen.exe生成的license.dat文件拷贝到flexm文件夹下,如果此处还有别的应用软件的license,可以将2个license 直接合并。

打开[系统属性]/高级/环境变量,创建变量LM_LICENSE_FILE,变量值为C:\flexm\license.dat。

Modelsim破解完成。

1.2破解Debussy打开debussy_crack,将Target File指向安装目录下的Debussy.exe文件,依次NEXT,替换掉5个文件,破解完成。

1.3破解Nlint用安装源文件目录下的nLint.exe替换安装后的nLint.exe文件,破解完成。

1.4环境变量设置按照如下例子,根据本机的实现情况完成环境变量的设置:Modelsim装在D:\Modeltech_6.2b环境变量path中追加D:\Modeltech_6.2b \win32debussy装在D:\Debussy设置环境变量的path中追加D:\Debussy\binnlint装在D:\nLint2.2v24环境变量path中追加D:\nLint2.2v24\bin2软件准备让所有软件工作在就绪状态下2.1完成Modelsim库的添加将XILINX的VHDL库文件夹拷贝到Modelsim的安装目录下,XILINX的VHDL库包括unisim、XilinxCoreLib、cpld、simprim 4个。

同时将本目录中的novas 文件夹拷贝到Modelsim的安装目录下。

然后将本目录中的modelsim.ini替换安装目录下的Modelsim.ini文件。

debussy使用教程

debussy使用教程

Debussy使用指南一、Debussy介绍Debussy 是NOV AS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。

注:本文使用的Debussy版本为:54v9。

Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。

二、启动与导入启动Debussy后,需要导入已编译成功的v文件以及相应的testbench导入文件:File\Import Design三、nTrace介绍3-1在Hierarchy browser点击“+”可以展开这个testbench所引用的所有模块3-2点击左侧的模块名称,右边的source code window就会立即切换到相应的module3-3 点击代码内的模块名称也会转到左侧的模块列表中你可以利用此方法轻易的追踪出project中所有design之间彼此的联系3-4除了追踪design之间的关联性,也可以用同样的方法追踪出信号的drivers与loads。

点选代码中的任意信号,使用工具栏中“D”与“L”可以查看此信号的drivers与loads,右侧的箭头用于选择上一个与下一个。

四、nSchema介绍点击工具栏中的New Schematic即可进入nSchema,中的上一层与下一层,当到达最底层时,可以通过双击某一图形单元查看其代码五、nWave介绍5-1 fsdb文件生成Debussy中,nWave只能导入fsdb文件来观察波形,fsdb文件通常是有ModelSim软件生成的,但要让ModelSim能成生成fsdb文件,必需要有如下步骤:第一步:挂PLI找到Debussy安装目录下\share\pli\modelsim_pli\winnt\下的novas.dll文件,复制到ModelSim安装目录下\win32中,找到modelsim.ini(通常在我的文档中或modelsim安装目录下),去“只读”勾选进行编辑,找到[vsim],添加Veriuser = novas.dll第二步:修改环境变量变量名:D_LIBRARY_PATH变量值:Debussy安装目录下的novas.dll如D:\Debussy\share\pli\modelsim_pli\winnt\novas.dll变量名:PLIOBJS变量值:同上(注:上述步骤只需配置一次)第三步:在testbench中加入如下代码initialbegin$fsdbDumpfile("filename_you_want.fsdb");$fsdbDumpvars;end第四步:在modelsim中进行编译,仿真,run,之后就产生了fsdb文件5.2nWave使用点击工具栏中New Waveform进入nWave。

produes操作步骤

produes操作步骤

produes操作步骤
PRODUCE ISIS是英国Lab center公司开发的电路分析与实物仿真软件。

它运行于Windows操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路。

PRODUCE ISIS的工作界面是一种标准的Windows界面。

包括:标题栏、主菜单、标准工具栏、绘图工具栏、状态栏、对象选择按钮、预览对象方位控制按钮、仿真进程控制按钮、预览窗口、对象选择器窗口、图形编辑窗口。

在图形编辑窗口内完成电路原理图的编辑和绘制。

为了方便作图坐标系统(CO-ORDINATE SYSTEM)ISIS中坐标系统的基本单位是10nm,主要是为了和Proteus ARES保持一致。

但坐标系统的识(read-out)单位被限制在1h。

坐标原点默认在图形编辑区的中间,图形的坐标值能够显示在屏幕的右下角的状态栏中。

点状栅格(The Dot Grid)与捕捉到栅格(Snapping to a Grid)
编辑窗口内有点状的栅格,可以通过View菜单的Grid命令在打开和关闭间切换。

点与点之间的间距由当前捕捉的设置决定。

捕捉的尺度可以由View菜单的Snap命令设置,或者直接使用快捷键F4、F3、F2和CTRL+F1。

若键入F3或者通过View。

如果你想要确切地看到捕捉位置,可以使用View菜单的命令,选中后将会在捕捉点显示一个小的或大的交叉十字。

第09讲 Debussy调试

第09讲 Debussy调试

Debussy的五个组成部分nTrace, nWave, nSchema, nState, nCompare在FSDB和 Knowledge Database (KDB)的支持下高度集成。
Debussy介绍
Debussy介绍
三、Debussy功能
Debussy直接编译Verilog/VHDL源代码,并且采用了 预综合技术识别电路的单元,可以生成原理图。在导入仿真 结果后,可以用Active Annotation在源代码、原理图、状态 图上动态显示变量的仿真值。 Debussy的输入为:Verilog/VHDL或混合语言源代码, 仿真波形文件,SDF 支持的波形格式:vcd,fsdb,模拟波形文件 (PowerMill,TimeMill,Avant! Star-Sim,SmartSpice) 支持的仿真器:VCS,Verilog-XL,NC-verilog,NCVHDL,Modelsim,Leapfrog,Ploaris。
Debussy操作:
Debussy操作
二、根据仿真结果进行调试
1.生成仿真的波形文件
Debussy提供的新的波形文件格式FSDB(Fast Signal DataBase),相比于VCD格式,压缩量大,比vcd文 件小5-50倍,加载速度快。 Debussy提供了PLI(for Verilog)和FLI(for VHDL) 接口,我们可以在仿真时直接导出FSDB文件。
Debussy操作
(2)用nSchema窗口进行调试
STEP 1: 生成“out”信号的Fan-In Cone逻辑 选择菜单 Tools -> New Schematic -> Fan-In Cone
STEP 2: 把仿真结果标注到Fan-In Cone窗口中 选择菜单Schematic->Active Annotation STEP 3: 分析Fan-In Cone,追溯问题根源。 Note: Fan-In Cone will stop at storage elements, functional blocks,FSMs and primary IOs.
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
debussy_debug:process begin fsdbDumpfile("**.fsdb"); fsdbDumpvars(0,"system"); wait; end process debussy_debug; fsdbdumpfile("t1.fsdb");--此处的文件名可以随便取。

Debussy的输入为:Verilog/VHDL或混合语言 源代码,仿真波形文件,SDF 支持的波形格式:vcd,fsdb(fast signal database,比vcd文件小5-50倍),模拟波形文 件(PowerMill,TimeMill,Avant! StarSim,SmartSpice) 支持的仿真器:Verilog-XL,NC-verilog,NCVHDL,Leapfrog,Modelsim,VCS,Ploaris

1. Modelsim阶段
VHDL通过ModelSim产生FSDB文件
b、将Debussy安装目录下的\share\PLI\modelsim_fli54\WINNT下的 novas.vhd拷贝至工程所在目录,打开modelsim,在工程所在目录新建一个 novas的LIB,然后编译novas.vhd文件。(对于这一步,前期准备工作的时 候就像提取Altera的库一样,将该库文件提取到ModelSim里,生成一个可以 重复使用的novas库,这样就可以一劳永逸不要每个工程都要编译一次这个 库)。 c、在testbentch中增加library novas;use novas.pkg.all;并在testbentch 中添加:
Active Annotation

Debussy功能
Debussy直接编译Verilog\VHDL源代码, 并且采用了预综合技术识别电路的单元,可 以生成原理图。在导入仿真结果后,可以用 Active Annotation在源代码、原理图、状 态图上动态显示变量的仿真值

注意
Debussy本身不含仿真器(simulator),必 须调用外部仿真(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的 单元“nWave”通过读取FSDB file,才能显 示波形或信号值的变化 常用流程:
先由Modelsim产生FSDB文件,再由Debussy 进行调试

2 Debussy部分
1. Import Files 2. Debug
在不同的工具窗口中,针对设计的不同特征显示情况进行调试

1.Import Files
启动Debussy:% Debussy &
file-> import design
接着按 Get Signals icon,此时就会出 现波形窗口 在source code window或其他位置选择要 观测的信号,添加到波形窗口中

//文件名随便起

1. Modelsim阶段
VHDL通过ModelSim产生FSDB文件
a、将Debussy安装目录下的 \share\PLI\modelsim_fli54\WINNT中的 novas_fli.dll拷贝到modelsim安装目录下的win32文 件夹中。然后在modelsim.ini文件中的[vsim]标签下 添加Veriuser=novas.dll。编辑modelsim根目录下 的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll(注:“; Veriuser = veriuser.sl ”默认值ini文件中被注释掉,或者可以不管 它直接添加即可,注意这里和Verilog的不同,另外请 注意:Do not specify veriuser variable to both novas.dll and novas_fli.dll.)
-pli <Debussy安装目录 >/share/PLI/modelsim_pli/WINNT/novas.dll

• Copy X:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas .dllto X:\modelsim\win32\ • Edit X:\modelsim\modelsim.ini and add block box text

1. Modelsim阶段
通过ModelSim产生FSDB文件
Verilog:
在测试平台(testbench)中添加FSDB系统函数,典 型的可以把下列语句加到testbench中:
initial begin $fsdbDumpfile("wave_test.fsdb"); $fsdbDumpvars; end

Why Debussy?
只要有simulator如ModelSim就可以做 debug了,为什么还用Debussy? 调试,利用代码、波形、原理图之间的本质 联系,使得调试非常便捷 新功能:
提供了nLint -- check coding style & synthesizable,这蛮有用的,可以协助工程师 了解如何写好coding style,并养成习惯。


4. Trace between hierarchy browser、source code、schematic and waveform
需要利用仿真器所产生的资料(.fsdb),以 “nWave”显示信号波形。
File -> load simulation results。。把之前 生成的.fsdb文件加载近来


生成fsdb文件
正常仿真 注意:在使用Modelsim GUI界面的时候, 仿真结束后,使用一个命令
fsdbDumpflush 或者 退出Modelsim(finish).

其他便捷方法
批处理 利用脚本文件

通过脚本自动化生成FSDB波形文件
批处理bat文件: cd x:\debussy\accumulator x:\modeltech_6.3c\win32/vsim -c -do sim.do sim.do: vlib work vlog Accumulator_tb.vhd vlog Accumulator.vhd vsim Accumulator_tb run 1000us quit 说明: 最后一句quit就是仿真结束。 整个批处理就2个命令,第一条是进入当前工作目录; 第二条是执行do文件,其中vsim命令一定要带-c参数,即使 用命令行的模式

认识Debussy(几个窗口)
Source Code window Hierarchical Browser
Message Window
www.Wave


windows

2. Trace between hierarchy browser and source code
->

3. Trace between hierarchy browser、 source code and schematic

常规使用流程
Modelsim + Debussy 调试基本步骤
1、Load design and testbench into Debussy; 2、打开nWave,载入对应的FSDB; 3、在nTrace中将要观察的信号通过鼠标中键Drag&Drop拖 放到nWave中; 4、通常都是在波形上发现问题,找到错误地方并双击鼠标, 会自动跳到Real driver statement,也即会跳到源代码所在。 5、nTrace中,Active Annotation(快捷键X)标出仿真结 果在source code下; 6、在先前driver statement中找个driver来使用active trace来追踪有效驱动。 当然还有更深入的用法比如:fan-in cone和 trace-x等等。

关于FSDB文件格式
Debussy支持的波形格式是fsdb,是Fast Signal Database的简写。Debussy也可以读取vcd文件, 在它读入vcd文件时,先自动把vcd文件转换成fsdb 文件,然后再读入debussy。
Debussy提供的新的波形文件格式FSDB相比于VCD格式,压 缩量大,加载速度快。 Debussy提供了PLI(for Verilog)和FLI(for VHDL)接 口,我们可以在仿真时直接导出FSDB文件。Debussy也提供 了 用 于 转 换 VCD 文 件 为 FSDB 文 件 的 程 序 ( vfast ) 。 当 用 nWave导入VCD文件时,自动调用vfast转换成FSDB文件。 nWave可以单独启动用来查看波形。作为一个波形查看工具, nWave相当不错。

1. Modelsim阶段
软件配置
1. 将Debussy安装目录下的 \share\PLI\modelsim_pli\WINNT中的 novas.dll拷贝到modelsim安装目录下的win32文 件夹中。然后在modelsim.ini文件中的[vsim]标签 下添加Veriuser=novas.dll。 2. 可以在ModelSim仿真脚本中的[vsim]命令行加 入这样调用pli的选项:
使用Debussy进行设计调试
汪彦刚 未名芯锐
Debussy产品简介
由NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool 非常实用的Verilog\VHDL调试工具,可以帮助设 计者快速理解复杂的设计(设计小组中别人开发的 复杂、不熟悉的代码或者IP),查找和定位设计中 存在的bug,提高效率,缩短产品上市时间。 主要不是用来跑仿真或看波形,它最强大的功能是: 能够在HDL source code、schematic diagram、 waveform、state bubble diagram之间,即时 做trace,协助工程师进行调试。
相关文档
最新文档