八路彩灯课程设计八路彩灯控制器的设计

合集下载

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器第一篇:EDA课程设计:八路彩灯控制器EDA课程设计设计题目:基于VHDL的8路彩灯控制器设计一、课程设计的目的1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。

2.增强自己实际动手能力,独立解决问题的能力。

3.通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。

设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。

三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为0.25s和0.5s。

三种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。

(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。

(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。

四、实验环境PC机一台;软件Quartu sⅡ6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。

二选一模块:选择两种频率中的一个控制彩灯的花型。

8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenclkk1:= not clkk1;end if;clkk<=clkk1;end process;end behav;RTL电路图:波形图:2.二选一模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when “00100”=>q<=“11111111”;when “00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when“01100”=>q<=“10000001”;when“01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;w hen“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;RTL电路图:波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenend if;clkk<=clkk1;end process;end behav;library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;library ieee;clkk1:= not clkk1;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when“00100”=>q<=“11111111”;when“00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when “01100”=>q<=“10000001”;when “01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;when“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng is port(clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0));end;architecture one of balucaideng issignal h0,h1:std_logic;component fenpin2port(clk:in std_logic;clkk:out std_logic);end component;component mux21 port(a,b,s:in std_logic;y:out std_logic);end component;component color8 port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end component;begin u1: fenpin2 port map(clk=>clk,clkk=>h0);u2: mux21 port map(a=>h0,b=>clk,s=>s;y=>h1);u3: color8 port map(clk=>h1,rst=>rst,q=>q);end;波形图:六、实验总结第二篇:eda课程设计-彩灯控制器1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。

八路彩灯课程设计

八路彩灯课程设计

八路彩灯课程设计一、课程目标知识目标:1. 学生能理解并掌握八路彩灯的基本电路原理和电路连接方式。

2. 学生能够运用所学的电子元件知识,正确选择和连接八路彩灯所需的元器件。

3. 学生能理解并解释八路彩灯控制过程中涉及的电子技术概念,如电压、电流、电阻等。

技能目标:1. 学生能够独立完成八路彩灯电路的设计与搭建,展示动手实践能力。

2. 学生能够运用问题解决策略,分析和解决在搭建八路彩灯过程中遇到的技术问题。

3. 学生通过小组合作,培养团队协作和沟通能力。

情感态度价值观目标:1. 学生通过参与八路彩灯的制作,培养对科学技术的兴趣和好奇心,增强学习动力。

2. 学生在实践过程中,培养耐心、细致、勇于尝试和创新的科学精神。

3. 学生能够关注电路在生活中的应用,认识到科技与生活的紧密联系,提高环保意识和节能意识。

课程性质:本课程为实践性强的电子技术课程,旨在通过学生动手实践,将理论知识与实际应用相结合。

学生特点:五年级学生,具有一定的电子元件知识基础,好奇心强,喜欢动手实践。

教学要求:注重理论与实践相结合,关注学生的个体差异,鼓励学生思考、提问,培养解决问题的能力。

通过课程目标的设定,分解学习成果,使学生在实践中掌握知识,提升技能,培养情感态度价值观。

二、教学内容本课程依据课程目标,结合教材内容,组织以下教学大纲:1. 电子元件知识回顾:复习之前学过的电阻、电容、二极管等电子元件的基本原理和功能。

2. 八路彩灯电路原理:讲解八路彩灯电路的设计思路,包括串联、并联电路的特点及其在彩灯中的应用。

3. 元器件选择与连接:详细介绍如何根据电路需求选择合适的电子元件,并教授正确的连接方法。

4. 搭建八路彩灯电路:指导学生动手搭建八路彩灯电路,掌握电路连接和调试技巧。

5. 故障分析与解决:教授学生在搭建过程中遇到问题的分析方法,培养解决问题的能力。

教学内容安排与进度:第一课时:回顾电子元件知识,介绍八路彩灯电路原理。

第二课时:学习元器件选择与连接,进行电路搭建前的准备工作。

八路彩灯课程设计八路彩灯控制器的设计

八路彩灯课程设计八路彩灯控制器的设计

八路彩灯课程设计--八路彩灯控制器的设计课程设计报告课程: 数字电路题目:八路彩灯控制器的设计院系: 物理与电子信息学院专业: 2011级电子信息科学与技术学号: 20111302031姓名: 李俊完成日期: 2008 年 6 月 22 日摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。

整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。

关键词:控制、循环、555定时电路一、设计内容与设计要求1.设计目的⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。

⑵掌握计数、译码、显示综合电路的设计与调试方法。

⑶掌握实际输出电路不同要求的实现方法。

2.设计任务八路彩示系统,该系统实现以下功能:⑴八路彩灯从左向右逐次渐亮,间隔为1秒。

⑵八路彩灯从右向左逐次渐灭,间隔为1秒。

⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。

3.设计要求⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。

⑵选择元器件型号。

⑶画出总逻辑图和装配图,并在实验板上组装电路。

⑷进行电路调试,使其达到设计要求。

⑸写出总结报告。

4.参考器件555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。

二、总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。

时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。

三、总体设计原理1.基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR '控制清零。

第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。

8彩灯控制器课程设计

8彩灯控制器课程设计

8彩灯控制器课程设计一、课程目标知识与理解:1. 学生能理解8彩灯控制器的基本原理,掌握其电路组成及功能。

2. 学生能描述8彩灯控制器的编程方法,了解与掌握相关编程语言的运用。

3. 学生了解8彩灯控制器在现实生活中的应用,认识到其在科技领域的重要性。

技能与运用:1. 学生能够独立完成8彩灯控制器的组装,并运用所学知识进行调试。

2. 学生能够运用编程语言对8彩灯控制器进行编程,实现不同的灯光效果。

3. 学生能够运用8彩灯控制器解决实际问题,培养创新意识和动手能力。

情感态度与价值观:1. 学生在课程学习中培养对电子科技的兴趣,激发学习热情。

2. 学生通过团队合作,培养沟通、协作能力和集体荣誉感。

3. 学生在创作过程中,体验科技与艺术的结合,提高审美情趣。

课程性质:本课程为电子技术与编程实践课程,注重理论知识与实际操作相结合,培养学生的动手能力、创新意识和实际问题解决能力。

学生特点:学生处于中学阶段,具备一定的电子基础和编程知识,对新鲜事物充满好奇心,喜欢动手实践。

教学要求:教师需引导学生通过理论学习和实践操作,掌握8彩灯控制器相关知识,注重培养学生的实际操作能力和创新精神。

同时,关注学生的情感态度价值观的培养,使学生在课程学习中获得全面发展。

二、教学内容1. 8彩灯控制器基础知识:- 电路原理与组成:介绍8彩灯控制器的电路结构、元件功能及其相互关系。

- 控制器编程语言:学习控制器编程的基础语法,掌握编程方法。

2. 实践操作:- 8彩灯控制器组装:按照电路图完成控制器组装,学习使用相关工具和仪器。

- 灯光编程与调试:运用编程语言,设计不同的灯光效果,并进行调试。

3. 应用与创新:- 实际案例分享:分析8彩灯控制器在现实生活中的应用案例,激发学生创新意识。

- 创意设计实践:指导学生运用所学知识,完成创意8彩灯控制器设计。

教材章节关联:1. 电路原理与组成:对应教材第3章“电子电路基础”。

2. 控制器编程语言:对应教材第5章“编程基础与技巧”。

八路彩灯控制器 课程设计

八路彩灯控制器 课程设计

目录第一章总体设计 (5)1.1设计目的 (5)1.2设计任务与要求 (5)1.3基本工作原理 (5)1.4设计方案 (5)第二章单片机简介 (6)2.1单片机结构 (6)2.2单片机的封装形式、引脚定义及功能 (7)2.3单片机的工作原理 (8)2.4 CPU的工作原理 (8)2.5存储器结构 (9)2.6 CPU时序及时钟电路 (10)2.7复位操作 (12)第三章硬件设计 (14)3.1整体硬件接结构 (14)3.2功能模块电路 (14)3.3系统硬件原理电路图 (16)第四章软件设计 (17)4.1软件总体结构设计 (17)4.2各功能模块设计 (18)总结与心得 (25)参考文献: (26)第一章总体设计1.1设计目的1)了解单片机的基本原理及相关的简单应用。

2)掌握用单片机设计系统的一般步骤。

3)了解LED数码管的基本知识和驱动方法。

4)掌握单片机系统各个组成部分的作用以及分布位置。

5)学会运用单片机的硬件资源。

1.2设计任务与要求8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。

要求:完成以下花形变化:1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。

时间节拍为1秒。

2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。

时间节拍为1秒。

3)循环往复,用LED管模拟彩灯。

4)用汇编语言编程,用proteus仿真。

1.3基本工作原理此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。

由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。

若查到的内容为跳出代码就重新开始循环。

1.4设计方案软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。

硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。

数电课程设计报告八路花样灯控制器的设计

数电课程设计报告八路花样灯控制器的设计

数电课程设计报告八路花样灯控制器的设计学校:班级:成员:指导老师:2012.6.24目录一、设计要求 (3)二、设计目的 (3)三、技术指标 (3)四、元件清单 (3)五、电路框图 (4)六、单元电路设计 (4)七、总电路图 (7)八、问题及解决办法 (8)九、心得体会 (9)十、参考文献 (9)十一、附录(此次的课程设计的部分花样变化图) (10)一、设计要求:1、有一个时钟电路。

2、有八个LED发光二极管输出电路。

3、至少16种变化的花样控制。

二、设计目的:1、巩固和加深对电子电路的基本知识的理解,提高综合运用本课程所学的知识的能力。

2、培养根据设计需要选学参考书籍,查阅相关手册,图表和文献资料的自学能力。

3、通过电路的方案分析,论证和比较,设计计算选取元件,电路组装,调试等环节初步掌握简单实用电路的分析方法和工程设计方法。

4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电路实验的基本技能三、技术指标:设计一个有八个LED灯输出花样的控制器电路,其中有三个LED灯受74ls194芯片控制,4个LED灯受74ls160芯片控制,最后一个LED灯由两片74ls160芯片组成的二十三进制计数器的进位来控制。

从第十个时钟脉冲开始第一片74LS160的Q0端变成高电平。

此时74LS194开始移位。

通过对电源的通断来控制复位。

另外三个主要芯片统一由一个时钟芯片来控制,进而达到同步工作。

最后加上一个非门和一个与非门等完成了八路花样灯控制器的设计。

进而实现了八路LED的输出。

并且该控制器共有32种变化的花样。

(附录有其中18种花样)四、元器件清单:五、电路框图六、单元电路的设计我们设计的八路花样灯控制器共分为四大模块。

它们分别是:时钟电路模块、74LS194移位寄存器模块、主控制模块(由两片74LS160构成)、显示模块(8个发光二级管LED)(1)时钟电路图(1)图(1)所示是用555定时器接成的多谐振荡器,其分析如下:启动Multisim 11程序,我们从混合器件库(Mixed)中的定时器件(Timer)中取出 555 定时器,从工具栏中找出电阻R、电容C、地及电源VDD信号。

led8路彩灯课程设计

led8路彩灯课程设计

led8路彩灯课程设计一、课程目标知识目标:1. 学生能理解LED的基础知识,掌握8路彩灯电路的组成与原理。

2. 学生能描述8路彩灯程序设计的基本步骤,了解程序中用到的关键代码和功能。

3. 学生了解电子制作中的安全性知识,认识到安全意识在实践活动中的重要性。

技能目标:1. 学生能够独立完成8路彩灯电路的搭建,并编写控制程序使其正常工作。

2. 学生通过动手实践,培养解决实际问题的能力,提高电子电路故障排查与处理技巧。

3. 学生能够运用所学知识,发挥创意,设计并实现具有个性化的LED彩灯效果。

情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发探究未知世界的热情。

2. 学生通过合作学习,培养团队协作意识,学会分享与交流。

3. 学生在创作过程中,认识到科技与生活的密切关系,增强环保意识和社会责任感。

二、教学内容本课程以《电子技术基础》教材中关于LED应用的相关章节为基础,结合以下教学内容:1. LED基础知识:介绍LED的发光原理、种类、参数及其在电子电路中的应用。

- 教材章节:第二章第二节“发光二极管”2. 8路彩灯电路设计:讲解8路彩灯电路的组成、工作原理及电路图的识读。

- 教材章节:第三章第三节“数字电路及其应用”3. 程序设计:学习8路彩灯控制程序的基本结构、编程方法和关键代码。

- 教材章节:第五章“微控制器与应用”4. 动手实践:指导学生进行8路彩灯电路搭建、编程及调试。

- 实践内容:按照教学大纲,分阶段完成电路搭建、程序编写及故障排查。

5. 安全制作:强调电子制作过程中的安全意识,教授安全操作方法。

- 教材章节:第一章“电子制作安全常识”教学进度安排:1. 第1课时:LED基础知识学习及电路原理讲解。

2. 第2课时:8路彩灯电路设计及程序设计方法。

3. 第3课时:动手实践,分组完成8路彩灯制作及调试。

4. 第4课时:总结分享,展示作品,交流心得。

教学内容确保科学性和系统性,注重理论与实践相结合,培养学生动手能力和创新意识。

8彩灯控制器课程设计

8彩灯控制器课程设计

8彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解并掌握8彩灯控制器的基本原理,包括电路组成、工作流程及功能特点。

2. 学生能描述8彩灯控制器的编程方法,运用相关指令实现不同灯光效果的切换。

技能目标:1. 学生能够独立完成8彩灯控制器的组装和调试,具备实际操作能力。

2. 学生能够运用已学知识,设计出具有创意的8彩灯控制程序,实现个性化灯光效果。

情感态度价值观目标:1. 学生通过学习8彩灯控制器,培养对电子技术和编程的兴趣,激发创新意识和探索精神。

2. 学生在团队合作中,学会相互沟通、协作,培养集体荣誉感和责任感。

课程性质:本课程为电子技术实践课程,注重理论与实践相结合,强调学生的动手能力和创新能力。

学生特点:六年级学生具备一定的电子技术基础,对新事物充满好奇心,喜欢动手实践,但需引导培养团队合作意识。

教学要求:教师应关注学生的个体差异,提供个性化指导,鼓励学生积极参与,充分发挥学生的主观能动性。

同时,注重培养学生的安全意识,确保实践活动顺利进行。

通过本课程的学习,使学生将理论知识与实际操作相结合,提高综合素养。

二、教学内容本课程教学内容主要包括以下几部分:1. 8彩灯控制器原理介绍:讲解控制器的基本组成、工作原理及功能特点,对应教材第3章“智能控制器原理与应用”。

2. 电路组成与连接:学习如何识别并连接8彩灯控制器所需元器件,掌握电路搭建方法,对应教材第4章“电子电路的搭建与调试”。

3. 编程方法与指令:学习8彩灯控制器的编程方法,掌握相关指令,实现灯光效果的切换,对应教材第5章“控制器编程与应用”。

4. 实践操作:分组进行8彩灯控制器的组装、调试与编程,培养实际操作能力,对应教材第6章“实践项目:智能控制器应用”。

5. 创意设计与展示:鼓励学生运用所学知识,设计具有创意的8彩灯控制程序,进行作品展示,对应教材第7章“创新设计与实践”。

教学进度安排如下:1. 第1课时:8彩灯控制器原理介绍,电路组成与连接。

实验八 八路彩灯控制器设计

实验八     八路彩灯控制器设计

实验八八路彩灯控制器设计信息学院电信0903 王宁200948300327实验题目:八路彩灯控制器设计实验目的:设计一个8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花型循环变化。

两种节拍分别为0.25s和0.5s。

三种花型分别是:(1)8路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。

(2)从中间到两边对称地渐亮,全亮后仍由中间向两边逐次渐灭。

(3)8路彩灯分成两半,从左至右顺次渐亮,全亮后则全灭。

实验原理:根据功能要求,可将8路彩灯控制器的输出按花形循环要求列成表格,见下表。

其中,Q7~Q0是控制器输出地8路彩灯的控制信号,高电平时彩灯亮。

状态标志flag是为了便于有规律地给8路输出赋值而设立的不同花形的检测信号。

4HZ的时钟脉冲二分频,得到一个2HZ的时钟脉冲,让这两种时钟脉冲交替来控制花形循环即可。

这种设计思想就体现在下图所示的顶层原理图中。

图中,FEN2是二分频器,MUX21是二选一多路选择器,CD是8路彩灯的三种花形控制器,它们的VHDL源程序分别如下。

(1)8路彩灯的三种花型控制模块CDLIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cd ISPORT(clk:IN STD_LOGIC;jp:OUT STD_LOGIC;qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END cd;ARCHITECTURE behav OF cd ISCONSTANT w:INTEGER:=7;SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(clk)V ARIABLE flag:BIT_VECTOR(2 DOWNTO 0):="000";V ARIABLE jp1: STD_LOGIC:='0';BEGINIF clk'EVENT AND clk='1'THENIF flag="000"THENq<='1'&q(w DOWNTO 1);IF q(1)='1'THENflag:="001";END IF;ELSIF flag="001"THENq<=q(w-1 DOWNTO 0)&'0';IF q(6)='0'THENflag:="010";END IF;ELSIF flag="010"THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'1';q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1);IF q(1)='1'THENflag:="011";END IF;ELSIF flag="011"THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'0';q(w-4 DOWNTO 0)<='0'&q(w-4 DOWNTO 1);IF q(1)='0'THENflag:="100";END IF;ELSIF flag="100"THENq(w DOWNTO 4)<='1'&q(w DOWNTO 5);q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1);IF q(1)='1'THENflag:="101";END IF;ELSIF flag="101"THENq<="00000000";jp1:=NOT jp1;flag:="000";END IF;END IF;qq<=q;jp<=jp1;END PROCESS;END behav;(2)二选一多路选择器模块MUX21 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux21 ISPORT(A,B,S:IN STD_LOGIC;Y:OUT STD_LOGIC);END mux21;ARCHITECTURE ar OF mux21 ISBEGINPROCESS(A,B,S)BEGINIF S='0'THENY<=A;ELSEY<=B;END IF;END PROCESS;END ar;(3)二分频模块FEN2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY fen2 ISPORT(clk:IN STD_LOGIC;clkk:OUT STD_LOGIC);END fen2;ARCHITECTURE behav OF fen2 ISBEGINPROCESS(clk)V ARIABLE clkk1:STD_LOGIC:='0';BEGINIF clk'EVENT AND clk='1'THENclkk1:=NOT clkk1;END IF;clkk<=clkk1;END PROCESS;END behav;实验步骤:(1)在Untitled1-Text Editor文本编辑窗口输入8路彩灯的三种花型控制模块CD的程序。

八路彩灯控制器课程设计

八路彩灯控制器课程设计

第1章绪论彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。

彩灯艺术也就是灯的综合性的装饰艺术。

彩灯的产生,是从人类运用火、发明灯、制造灯具等发展而来的。

随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一的典范。

现今生活中,市场上为能吸取顾客的注意;搞出各式各样的方法,其中彩灯的装饰便是一种非常普遍的一种,即可起装饰宣传作用,又可以烘托起现场气氛,城市也因众多的彩灯而变得灿烂辉煌。

本设计的彩灯确能成为现实的一种,但技术上日后将会有更大的改善和提高。

本设计以IC CD40194和IC CD4069和IC CD4071芯片实现,提出一种手动彩灯控制器,它的主要元件均采用CMOS 数字电路,驱动部分采用三极管9014,因此具有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。

第2章功能及方框图2.1 功能简介彩灯控制器能够使彩灯控照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用。

彩灯控制器多种多样,本设计的彩灯控制器主要功能:(1)可以控制8路彩灯。

(2)彩灯点亮方式既可以向左(逆时针)移动,也可以向右(顺时针)移动,还可以左右交替移动。

(3)起始状态可预置(4)移动速度和左右交替速度均可调节。

2.2 电路方框图图1 彩灯控制方框图第3章电路附录1所示为彩灯控制器电路图。

它的主要元器件均彩CMOS数字电路,驱动部分采用晶体管VT,因此具有电路简洁、工作可靠、控制形式多样,使用安全方便的特点.3.1电路功能结构组成整机电路包括以下功能单元:整机的核心是两个CD40194级联组成的8位双向移位寄存器,控制8路彩灯按一定规律闪亮。

(1) S1、S2、SB组成的预置数控制电路,它控制8位移存器的初始状态,即8路彩灯的起始状态。

数电课程设计_八路彩灯控制器

数电课程设计_八路彩灯控制器

湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级1102学号201101010210姓名郭昕指导教师田莉2013年12月27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:201101010210指导老师:田莉审批:田莉任务书下达日期2013年12月16日设计完成日期2013年12月27日目录一课程设计题目(与实习目的) (7)(一)、题目:多路彩灯控制器 (7)(二)、实习目的: (7)二总体方案的选择 (7)(1)总体方案的设计 (7)(2)总体方案的选择 (8)三单元电路的设计 (9)(1)花型演示电路 (9)(2)花型控制信号电路 (13)(3)节拍控制电路 (14)(4)时钟信号电路原理图 (16)四总体电路图(见附页) (18)五电路组装后,实际测量的各个单元电路的输入、输出信号波形18 六安装、调试过程 (21)七故障分析与电路改进 (22)(一)、巩固数电知识 (23)(二)、学会用电路板、芯片、导线等组装各种功能的电路; (23)(三)、和同学共同合作、互相学习、共同进步 (24)八总结: (24)九附录(元器件清单): (25)十参考文献。

(25)一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

二总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现时钟信号的产生。

八路彩灯控制课程设计

八路彩灯控制课程设计

八路彩灯控制课程设计一、课程目标知识目标:1. 学生能够理解并掌握八路彩灯的基本电路原理和控制方法。

2. 学生能够运用所学的电子元件,如电阻、电容、二极管等,分析并搭建八路彩灯电路。

3. 学生能够描述并解释八路彩灯电路中涉及的物理现象,如电流、电压、颜色变化等。

技能目标:1. 学生能够运用电路图绘制工具,设计八路彩灯电路图,并展示其功能。

2. 学生能够运用编程软件,编写控制程序,实现八路彩灯的多样式切换和自动控制。

3. 学生能够运用实验器材,动手搭建八路彩灯电路,并解决实际操作中遇到的问题。

情感态度价值观目标:1. 学生在课程学习中,培养对电子技术的兴趣和热情,提高科技创新意识。

2. 学生通过团队合作完成课程任务,培养沟通协作能力和集体荣誉感。

3. 学生在学习过程中,认识到电子技术在实际生活中的应用,增强环保意识和节能意识。

课程性质:本课程为电子技术实践课程,旨在让学生通过动手实践,掌握电子元件的应用和电路搭建方法。

学生特点:初三学生,具备一定的物理知识和动手能力,对新鲜事物充满好奇心。

教学要求:注重理论与实践相结合,引导学生主动探索,培养解决问题的能力和创新精神。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容1. 电子元件基础知识:介绍电阻、电容、二极管等基本电子元件的原理和特性,关联课本第三章第二节。

2. 八路彩灯电路原理:讲解八路彩灯电路的设计原理,包括电路图绘制、元件连接方式等,关联课本第四章第一节。

3. 编程控制方法:介绍编程软件的使用,编写控制程序实现八路彩灯的多样式切换,关联课本第五章第三节。

4. 实践操作:指导学生动手搭建八路彩灯电路,进行实际操作,解决问题,关联课本第六章实践环节。

教学大纲安排:第一课时:电子元件基础知识学习,认识电阻、电容、二极管等元件,了解其作用。

第二课时:学习八路彩灯电路原理,分析电路图,了解元件连接方式。

第三课时:编程控制方法学习,掌握编程软件的使用,编写基础控制程序。

八路彩灯课程设计

八路彩灯课程设计

餐厅:摆放在餐 桌上,增加用餐 氛围
书房:摆放在书 桌上,营造学习 氛围
商业展示
商场橱窗:展示商品,吸引顾客 展览会:展示企业形象和产品,吸引潜在客户 节日庆典:营造节日氛围,吸引游客 品牌推广:展示品牌形象,提高品牌知名度
舞台灯光
舞台灯光设计:根据舞台表演的需要, 设计出合适的灯光效果
灯光位置:根据舞台表演的需要,调整 灯光的位置和角度
中秋节:赏月,吃月饼,赏桂 花
课程设计总结
设计成果展示
课程目标:培养学生的创新思维和实践能力 课程内容:包括彩灯制作、彩灯设计、彩灯文化等 教学方法:采用项目式教学,注重实践操作 课程评价:学生作品展示,教师点评,学生互评
设计经验总结
课程设计要注重实践操作,让学生在实践中掌握技能 课程设计要注重创新,培养学生的创新能力和实践能力 课程设计要注重团队合作,培养学生的团队协作能力和沟通能力 课程设计要注重评价,通过评价反馈,不断改进课程设计
掌握八路彩灯的软件编程
掌握八路彩灯的软件编程方 法
学会使用八路彩灯进行创意 设计
学习八路彩灯的基本原理和 结构
提高学生的创新能力和实践 能力
掌握八路彩灯的应用场景
节日庆典: 如春节、 元宵节等
商业活动: 如商场、 酒店等
旅游景点: 如古镇、 公园等
家庭装饰: 如客厅、 卧室等
学校教育: 如手工课、 美术课等
课程发展:结合市场需求,不 断更新课程内容,提高学生的 就业竞争力
THANK YOU
汇报人:
测试程序功能
功能测试:验证程序是否符合设计需求 性能测试:评估程序在特定环境下的性能表现 兼容性测试:检查程序在不同硬件、软件环境下的兼容性 安全性测试:确保程序在运行过程中不会受到恶意攻击或数据泄露

8路彩灯控制器课程设计

8路彩灯控制器课程设计

8路彩灯控制器课程设计1. 引言8路彩灯控制器是一种用于控制多个彩灯的设备,可以实现对彩灯的亮度、颜色、闪烁等功能进行调节。

本课程设计旨在通过设计和实现一个基于Arduino的8路彩灯控制器系统,让学生了解并掌握彩灯控制器的原理和应用。

本文将从以下几个方面进行详细介绍:系统设计概述、硬件设计、软件设计、系统测试与调试以及课程教学建议。

2. 系统设计概述本系统采用Arduino作为主控芯片,通过与8个彩灯模块的连接,实现对彩灯的控制。

系统具有以下特点:•支持多种亮度和颜色调节方式,如PWM调光和RGB颜色混合。

•支持闪烁效果的设置和控制。

•可以通过串口或无线通信进行远程控制。

3. 硬件设计3.1 硬件组成本系统的硬件组成如下:•Arduino UNO开发板:作为主控芯片,负责接收指令并控制彩灯。

•彩灯模块:共8个,每个模块包含一个彩灯和相应的控制电路。

•电源模块:用于为Arduino和彩灯模块提供电源。

3.2 连接方式将Arduino与彩灯模块连接如下:•将8个彩灯模块的控制引脚分别连接到Arduino的数字IO口。

•将彩灯模块的电源引脚连接到电源模块的输出端。

•将Arduino的GND引脚与电源模块的GND引脚相连。

4. 软件设计4.1 控制逻辑系统的控制逻辑如下:1.初始化系统,设置各个IO口的功能和初始化默认参数。

2.进入主循环,等待指令。

3.接收指令并解析,根据指令类型执行相应操作。

4.执行完毕后返回主循环。

4.2 主要功能实现本系统的主要功能包括:•彩灯亮度调节:通过PWM信号控制彩灯亮度,可以实现从全亮到全暗的无级调光效果。

•彩灯颜色调节:通过RGB三基色混合,可以实现多种颜色的选择和调节。

•彩灯闪烁效果:通过控制彩灯的开关状态和亮度,可以实现闪烁效果。

4.3 程序框架系统的程序框架如下:void setup() {// 初始化系统}void loop() {// 等待指令// 解析指令// 执行操作}5. 系统测试与调试在完成硬件和软件设计后,需要进行系统测试与调试,以确保系统功能正常。

8路循环彩灯课程设计

8路循环彩灯课程设计

8路循环彩灯课程设计一、课程目标知识目标:1. 学生理解并掌握8路循环彩灯的基本原理,包括电路组成、循环控制方式等;2. 学生能够运用所学知识,设计并搭建简单的8路循环彩灯电路;3. 学生了解并掌握8路循环彩灯程序编写的基本方法,能够实现基本的灯光控制效果。

技能目标:1. 学生培养动手操作能力,能够独立完成电路搭建和程序编写;2. 学生提高问题解决能力,能够分析并解决8路循环彩灯在运行过程中遇到的问题;3. 学生培养团队协作能力,能够在小组合作中发挥积极作用,共同完成项目任务。

情感态度价值观目标:1. 学生培养对电子制作的兴趣和热情,激发创新意识;2. 学生树立安全意识,遵循实验操作规程,确保实验过程安全;3. 学生培养环保意识,注重废弃物的分类处理和回收利用;4. 学生通过小组合作,培养沟通、分享和尊重他人的品质。

课程性质:本课程属于电子制作实践课程,注重理论联系实际,提高学生的动手能力和创新能力。

学生特点:本课程针对的学生群体为具有一定电子知识基础和编程能力的学生,他们对新鲜事物充满好奇,喜欢动手实践。

教学要求:教师在教学过程中应注重启发式教学,引导学生主动探索、思考问题,同时关注学生的个别差异,给予个性化指导。

在教学评估中,关注学生的知识掌握、技能提升和情感态度价值观的培养。

通过分解课程目标为具体学习成果,为后续教学设计和评估提供依据。

,正文直接输出以下内容:一、课程目标知识目标:1. 掌握8路循环彩灯的基本电路原理及电路搭建方法;2. 学习并理解循环控制程序编写技巧,实现8路彩灯的循环点亮与熄灭;3. 了解电子元件的功能及其在8路循环彩灯中的应用。

技能目标:1. 能够独立完成8路循环彩灯的电路搭建和程序编写;2. 学会使用调试工具,解决电路及程序中可能出现的问题;3. 培养团队合作能力,与小组成员共同完成项目任务。

情感态度价值观目标:1. 培养对电子制作的兴趣,激发创新思维和动手实践欲望;2. 树立安全意识,遵守实验操作规程,确保实验过程安全可靠;3. 增强环保意识,养成废弃物品分类处理的好习惯;4. 学会沟通与分享,尊重他人意见,培养团队协作精神。

8路plc彩灯控制课程设计

8路plc彩灯控制课程设计

8路plc彩灯控制课程设计一、课程目标知识目标:1. 学生能理解8路PLC彩灯控制的基本原理,掌握PLC编程的基本指令;2. 学生能描述8路PLC彩灯控制系统的电路连接方式,了解各元件的功能;3. 学生了解自动化控制系统中PLC的应用,掌握相关术语和概念。

技能目标:1. 学生能够运用PLC编程软件进行8路彩灯控制程序的编写和调试;2. 学生能够根据实际需求设计简单的8路PLC彩灯控制方案;3. 学生能够通过小组合作,解决实际操作过程中遇到的问题。

情感态度价值观目标:1. 学生培养对自动化控制技术的兴趣,激发创新意识;2. 学生在团队协作中,学会相互尊重、沟通和解决问题,增强合作意识;3. 学生认识到科技发展对社会进步的重要性,树立正确的价值观。

课程性质:本课程为实践性较强的课程,结合理论知识和实际操作,培养学生对PLC控制技术的应用能力。

学生特点:八年级学生对电子技术有一定的基础,好奇心强,喜欢动手操作,但需引导他们掌握正确的学习方法。

教学要求:注重理论与实践相结合,充分调动学生的积极性,引导他们主动探索,提高解决问题的能力。

在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能达到课程目标。

通过课程目标的分解,使学生在学习过程中获得具体的学习成果,为后续教学设计和评估提供依据。

二、教学内容本章节教学内容主要包括以下三个方面:1. PLC基础知识:- PLC的基本结构、工作原理和性能特点;- PLC编程软件的使用方法,基本指令的学习;- 介绍与8路彩灯控制相关的基础知识,如输入输出接口、继电器等。

2. 8路PLC彩灯控制电路设计:- 电路原理图的绘制,电路连接方法;- PLC与各元件的接线方式,如电源、输入输出端口等;- 介绍教材中相关章节内容,结合实际案例进行分析。

3. PLC编程与调试:- 编写8路彩灯控制程序,学习梯形图编程方法;- 调试程序,观察并解决实际问题;- 教学大纲中明确各阶段的学习内容和进度,确保学生循序渐进地掌握知识。

eda八路彩灯控制器课程设计

eda八路彩灯控制器课程设计

课程名称:EDA八路彩灯控制器设计课程目的:1. 了解EDA软件的基本操作和应用;2. 掌握数字电路设计的基本理论和方法;3. 熟悉FPGA设计流程;4. 学习彩灯控制器的设计原理和实现方法;5. 培养学生的团队合作能力和实际动手能力。

课程大纲:1. EDA软件的基本操作和应用1.1 EDA概念及发展历史1.2 常见的EDA软件及其特点1.3 EDA软件的安装和基本操作2. 数字电路设计基础2.1 逻辑门及其运算2.2 组合逻辑电路设计2.3 时序逻辑电路设计2.4 FPGA概念及应用3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理3.2 PWM调光原理及实现3.3 彩灯控制器的电路设计与原理图绘制3.4 彩灯控制器的FPGA设计与仿真4. 课程实践4.1 彩灯控制器实验板的制作4.2 EDA软件仿真实验4.3 彩灯控制器的硬件调试与验证4.4 彩灯控制器的功能实现与效果展示课程评价:本课程通过结合理论学习和实践操作相结合的教学方式,让学生全面掌握EDA软件的使用方法,深入理解数字电路的设计原理,以及彩灯控制器的具体实现方法。

通过实践环节,培养学生的动手能力和团队合作精神,使学生在课程中获得知识的能够运用所学知识解决实际问题。

通过该课程的学习,学生将掌握FPGA设计流程,了解数字电路设计的基础知识,并具备彩灯控制器设计和制作的能力。

结语:EDA八路彩灯控制器设计课程旨在培养学生的实际操作技能,通过设计和制作彩灯控制器,让学生在实践中巩固所学的EDA软件操作和数字电路设计知识,同时培养学生的团队合作和解决问题的能力。

希望学生能够在课程中认真学习,勇于实践,在实验中不断探索和创新,不断提高自己的实际动手能力和工程实践能力。

在接下来的1500字内容中,我们将进一步细化课程设计的细节,包括每个主题下的具体教学内容、示例和案例分析等部分。

3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理LED(Light Emitting Diode)是一种半导体器件,是一种能将电能转化为光能的二极管。

8路彩灯控制器课程设计

8路彩灯控制器课程设计

8路彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解8路彩灯控制器的基本原理,掌握相关电子元件的功能和连接方式。

2. 学生能描述8路彩灯控制器的电路图,并解释其工作原理。

3. 学生了解8路彩灯控制器在现实生活中的应用,并能够举例说明。

技能目标:1. 学生能够正确使用工具和仪器进行8路彩灯控制器的组装和调试。

2. 学生通过动手实践,掌握基本的电路故障排查和解决问题的方法。

3. 学生能够运用所学知识,设计简单的8路彩灯控制程序,实现不同的灯光效果。

情感态度价值观目标:1. 培养学生对电子制作和编程的兴趣,激发创新意识和探索精神。

2. 培养学生团队协作意识,学会与他人共同解决问题,提高沟通与表达能力。

3. 增强学生对科技与生活的联系的认识,培养环保意识和责任感。

课程性质分析:本课程属于电子技术与应用领域,结合实际操作,注重培养学生的动手能力、创新思维和实际应用能力。

学生特点分析:初中年级的学生对新鲜事物充满好奇心,动手能力强,但理论知识相对薄弱,需要通过实践操作来加深理解。

教学要求:1. 理论与实践相结合,注重培养学生的实际操作能力。

2. 教学过程中注重启发式教学,引导学生主动探究、发现问题、解决问题。

3. 关注学生的个体差异,提供个性化的辅导,使每个学生都能在课程中收获成长。

二、教学内容1. 电子元件认知:介绍常用电子元件如电阻、电容、二极管、三极管等,结合教材相关章节,让学生了解其功能及在8路彩灯控制器中的作用。

2. 电路原理:分析8路彩灯控制器的电路图,讲解各部分电路的功能及相互关系,对应教材中电路分析的内容。

3. 组装与调试:指导学生按照电路图组装8路彩灯控制器,学习焊接、接线等基本技能,参照教材相关章节进行实践操作。

4. 编程与控制:介绍简单的编程方法,使学生能够通过编程实现不同的灯光效果,结合教材中编程与控制部分的内容进行教学。

5. 故障排查:教授学生如何分析并解决8路彩灯控制器在运行过程中可能出现的故障,运用教材中故障排查技巧进行实践。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计报告
课程: 数字电路
题目:八路彩灯控制器的设计
院系: 物理与电子信息学院
专业: 2011级电子信息科学与技术
学号: ***********
**: **
完成日期: 2008 年 6 月 22 日
摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。

整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。

关键词:控制、循环、555定时电路
一、设计内容与设计要求
1.设计目的
⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。

⑵掌握计数、译码、显示综合电路的设计与调试方法。

⑶掌握实际输出电路不同要求的实现方法。

2.设计任务
八路彩示系统,该系统实现以下功能:
⑴八路彩灯从左向右逐次渐亮,间隔为1秒。

⑵八路彩灯从右向左逐次渐灭,间隔为1秒。

⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。

3.设计要求
⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。

⑵选择元器件型号。

⑶画出总逻辑图和装配图,并在实验板上组装电路。

⑷进行电路调试,使其达到设计要求。

⑸写出总结报告。

4.参考器件
555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。

二、总体设计思路
根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。

时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。

三、总体设计原理
1.基本原理
由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和
S1实现右移、左移和送数,通过控制CLR'控制清零。

第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。

由于程序循环一次要20秒,故需要一个20进制的计数器控制循环。

第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。

可以用一个16进制计数器产生脉冲信号,一路送到控制20进制的计数器,一路经逻辑电路送到移位寄存器。

2.设计框图
图1 3.总逻辑电路原理图
图2
四、各单元电路设计
1时钟脉冲产生电路
用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为: T=0.7(R1+2R2)C………………………(5-1)
控制74LS163模十六计数器电阻值和电容值可设为:
R1=1KΩ, R2=17.4KΩ, C=0.01μF
由公式(1-1)计算得:T=0.2506S
图3
2.多谐振荡器
用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS163模十六计数器,74LS163A输出2倍T的脉冲信号,B输出4倍T的脉冲信号,大约为1S。

图4
3.20进制循环控制电路
图5
4.具体实现:
如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测
N,当N出现时,与非门输出为低,用它控制清零端CLR’,将计数器清零。

此处工作状态从
00000~10011,检测10100(异步清零)。

5.彩灯花样输出电路:
⑴运用到74LS194功能表
输入输出功能/CR S1 S0 CP SL SR D0 D1 D2 D3 Q0 Q1 Q2 Q3
0 ×××××××××0 0 0 0 清零
1 1 1 ↑××d0 d1 d
2 d
3 d0 d1 d2 d3 送数1 0 1 ↑× 1 ×××× 1 Q0n Q1n Q2n 右移1 1 0 ↑0 ×××××Q1n Q2n Q3n 0 左移
表1
⑵通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值

表2 由上表得:
S0=QD'
S1=QE+QD
CLR'=(QE*A+QE')
进一步分析可知74LS194脉冲控制:
CLK=(QE*A+QE')*QE+B
五、实验、调试及测试结果分析
1结果的调试及分析
⑴调试使用的主要仪器: 数字万用表直流稳压电源示波器函数信号发生器
⑵测试电路的方法和技巧:
先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。

2.调试中出现的故障、原因及排除方法:
⑴彩灯只有一种花样变化,没有其它的花样:
可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。

还可能是20进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。

特别注意不能把非门的输入与输出接反了。

⑵彩灯无规律变化:
原因可能是20进制循环控制电路不能产生符合要求的控制码,检查模十六计数器的CP 脉冲是否稳定,看74LS161是否能计数,即Q A、Q B、Q C、Q D、 Q E是否周期性的高低电平变化,前面没问题,再检查与非门74LS20和非门74LS04是否能实现它们逻辑功能。

⑶彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:
原因可能是控制循环的20进制计数器没有正确接线,实际控制循环的进制大于20进制,导致有放空时间。

应该检查3输入与非门是否正常工作,确保能实现20进制计数。

六、总结
实验问题与解决方法
问题1:不了解面包板的内部结构,不了解面包板的基本使用方法和技巧;
解决办法:使用万用表的电阻档检查面包板的各个孔的连接关系,两个孔之间没有电阻或者电阻很小则说明内孔相连;
查找面包板的使用资料,学习基本使用方法。

基本使用方是一般不飞线,不相交,一个空不接两条线,只能90度转弯。

使用技巧:一般条形面包板的两侧作为电源端和接地端,可以更方便的连线。

为了加快
和更准确的连接各器件的端口,可以先使用面包板的纸质图纸,在纸上先把各个器件排布好,模拟连线,所有线模拟好在看图连线,
问题二:线路复杂,检查困难。

解决方法:只用万用表的电阻档,两表笔接在相应的端口上,电阻很小或者没有电阻,这说明线路连通。

问题三:怎么检查每个芯片都工作?
解决方法:用万用表的电压档检查每个芯片的电源端是否有vcc一样的电压,接地端是否都接地了,实验图上部分芯片并没有标注电源端和地端的接口,要自行加上。

用led去检查输入输出端是否有相应的响应,例如输入端是5赫兹的脉冲,接上led后应该是每秒闪烁五次,如果现象不对应该检查脉冲输出的芯片,用相同的方法检车脉冲部分,如此类推。

问题四:八个彩灯只渐亮和渐灭,之后就是常亮4秒,不符合闪烁4次的规则。

解决方法:
第一步:闪烁是由clr端控制的,CLR'=(QE*A+QE'),彩灯逐渐灭后,QE为1,所以CLR'=A,把led插在A端,检查A是否为2赫兹的脉冲,led的确每秒闪烁两次,说明了A没问题;
第二步:同样的方法检查QE,QE也正常,在检查起反相作用的74ls04n的1y输出端,1y 为0,74ls09n故没问题;
第三步检查起相加作用的74ls32n的1a,1b,1y,发现1y=0,1a=o,1b=0,这说明相与作用正常,问题发生在1b,1b=QE*A,应该是0和1交替出现,所以问题必定在相与的芯片上,即为74ls09n;
第四步,检查74ls09的输出端,没有输出,检查连线也正确,怀疑是芯片有问题。

把芯片独立出来检查芯片功能,芯片没有相与功能,可能是芯片损坏,更换芯片,更换后也没有相与功能,说明74ls09n不能完成相与功能,解决方法是找替代芯片。

经查找,74ls08与74ls09功能一致,引脚排布一致,可替换。

更换以后有了闪烁的现象;
问题五:有时有现象,有时没有,怀疑是接触不良。

解决方法:更换接触不良的线。

大功告成
附录:
元器件清单:555定时器,模十六计数器74LS163,与门74LS08,与非门74LS10,非门74LS04,或门74LS32,74LS194双向移位寄存器,发光二极管等。

参考文献
[1]欧阳星明:数字逻辑,华中科技大学出版社,2005年3月第2版。

[2] 王玉龙:数字电路,高等教育出版社,2004年6月第一版。

相关文档
最新文档