半导体制造常用英语词汇

合集下载

半导体常用英语

半导体常用英语

1.Wafer Mount---贴膜2.Die Saw---芯片切割3.Die Attach---粘片4.Wire Bond---焊线5.Molding---模封6.Cropping---切筋7.Tin-dipping---浸锡8.Deflashing---去毛刺21.Travel log---随工单bine---合并23.Split---分开24.Hold---暂时控制住25.Release---释放26.Tester---测试机27.Handler---抓放机28.Program---程序41.Electrical---电的42.Theory---理论43.Interface---界面44.Advantage---优点45.Assembly---装配46.Result---结果47.Message---信息48.Wafer---晶圆通用部分I9.Marking---打印10.Testing---测试11.Packing---包装12.Raw Line---外观检查工位13.Frame---基板14.Molding Compound---模封材料15.Pellet---子弹16.Substrate---基板(BGA)17.Gold Wire---金线18.Al Wire---铝线19.Cu Wire---铜线20.Glue---银胶29.Yellow---黄色30.Black---黑色31.Red---红色32.Green---绿色33.White---白色34.Operator---操作员35.Technician---技术员36.Engineer---工程师37.Machine---机器38.Double---双份39.Power---动力,能源plaint---投诉49.Dangerous---危险50.Warning---警告51.Open---打开52.Close---关闭53.Agree---同意54.Refuse---拒绝55.Keep---保持56.Start---开始57.Stop---停止58.Everyday---每天59.Waiting---等待60.Paused---暂停61.Begin---开始62.Other---其他63.Setting---设置puter---电脑65.Quantity---数量66.Quality---质量67.Parameter---参数68.Monday---星期一81.Month---月82.Year---年83.Sensor---传感器84.Shuttle---往复装置85.Empty---空的86.Temperature—温度-87.Normal---正常88.Soak---浸泡101.WIP---待料102.Cycle Time---循环时间103.Material---物料104.Continue---继续105.Offload---下料106.Onload---上料107.End---结束108.Jam---堵塞通用部分II69.Tuesday---星期二70.Wednesday---星期三71.Thursday---星期四72.Friday---星期五73.Saturday---星期六74.Sunday---星期日75.Roster---倒班表76.Morning---早晨77.Afternoon---下午78.Night---晚上79.Shift---班次80.Week---星期89.Yield---成品率90.Magazine---盒子91.Reject---拒收92.Total---总的93.Device---产品种类94.Process---工艺95.Scrap---废弃96.Supervisor---领班97.Superintendent---主管98.Manager---经理99.Idle---死机100.Oven---烤箱109.Reverse---反转110.Re-test---重测111.Object---目标112.Contact---接触113.Light---灯光114.Dark---黑暗115.Air---空气116.Stay---停留117.Stray Units---散落的产品118.Error---出错119.Situation---情况120.Key---钥匙121.Badge---工卡122.Position---位置123.Housekeeping---清洁124.Open---打开125.Cover---盖子126.Change---更换127.Lot---产品批次128.Mask---口罩141.QA---质量部142.CAR---关于问题产品的报告143.QC---质量检查员144.FOA gate---前期质量检查145.Audit---检查146.Out-going---出货工位147.Examination---考试148.K---千通用部分III129.Smock---工衣130.Gloves---手套131.Finger Cot---指套132.Tweezers---镍子133.Bin---测试分类134.Shoes---鞋子135.Training---培训136.Meeting---会议137.Discipline Letter---警告信138.OT---加班139.Annual Leave---年假140.Salary---工资lion---百万150.Hundred---百151.TPM---全面生产管理152.ESD---静电153.E-stop---紧急开关工位–BGA Die Saw1.mount---贴2.wafer---晶圆3.frame---框架4.blade---刀片5.tape---膜6.cassette---盒子pletion---完成8.loader---上料un-loader---21.cover---盖子22.device---产品23.data---数据24.saw---切割25.wafer---水26.elevator---升降机27.spindle---主轴28.sensor---感应器wheel---41.center---中心42.chip---崩边43.change---变换44.enter---确认45.height---高度9.出料10.initial---初始化11.open---打开12.air---空气13.pressure---压力14.failure---失败15.vacuum---真空16.alignment---校准17.ink---黑点18.die---芯片19.error---错误20.limit---限制29.轮子30.setup---测高31.rotary---旋转32.check---检查33.feed---进给34.cutter---切割35.speed---速度36.height---高度37.new---新38.shift---轮班39.pause---暂停40.clean---清洗工位–BGA Die Attach1.wafer---晶圆2.die---芯片3.attach---粘贴4.glue---银胶5.substrate---基板6.magazine---盒子7.inspection---检查8.parameter---参数manual---21.statistics---统计22.calibration---校正23.bond---贴片24.conversion---改机25.thickness---厚度26.tilt---倾斜度27.shape---形状28.adjust---调整contact---41.ring---铁圈9.操作手册10.reset---重设11.enter---确定12.error---错误13.input---输入14.speed---速度15.stop---停止16.pressure---压力17.vacuum---真空18.sensor---传感器19.back side---背面20.pin---针29.接触30.cover---覆盖31.device---产品32.chip---崩边33.pause---暂停34.elevator---升降机35.initial---初始化36.alignment---校准37.ink---黑点38.cassette---盒子39.tape---膜40.frame---框架工位–BGA Wire Bond1.Parameter---参数2.Statistics---统计3.Utility---应用4.Teach---教习5.Bond tip offset—焊线点纠偏6.Contact search---接触测高7.Zoom off center---放大倍数偏心校准8.Calibration---校准18.Wire threading—送线器19.EFO ---电子打火20.Linear power ---线性马达21.Vacuum sensor---真空感应器22.Step driver—步进驱动23.Post bond inspection—焊接后检查24.Wire pull—拉线25.Ball shape—推球35.peeling---拔铝垫(扯皮)36.Bond off---脱焊37.Ball deformation—焊球变形38.servo motor—伺服电机9.BQM---焊接质量控制10.PR—pattern recognition—图像识别11.Alignment tolerance—对点偏差12.PR indexing—图像控制下的步进13.Capillary---焊线劈刀14.Wire spool—送线卷轴15.Window clamp—窗口夹板16.Transducer—功率换能器17.FTN---功能键26.Ball size—焊球大小27.Ball thickness—焊球高度28.Loop height—线弧高度29.Loop shape—线弧形状30.Neck crack—线颈折损31.Fine adjust –精确调整32.Conversion –换产品33.1st bond non stick—第一点不粘34.2nd bond non stick—第二点不粘工位–BGA Molding & Plasma I1.Semiconductor---半导体2.Molding –模封3.Onload---上料4.Offload –出料5.Belt —皮带6.Preheater turntable –预热转盘7.Transfer---传送8.Safety Door---安全门21.Cull bin –垃圾箱22.Pin---针23.Vacuum pump—真空泵24.Mornitor –显示器25.Cable –导线26.Profile---温度曲线27.Alarm---报警28.Error---错误41.Cylinder –汽缸42.Bearing –轴承43.Stop---停止44.Emergency Stop---紧急停止45.Gripper --夹子46.Heat –加热器47.Pipe –管子9.Pick and place –机械手10.Motor---马达11.Station –模腔12.Cleaning brush—清洁刷13.Cylinder---气缸14.Sensor---传感器15.Solenoid---电磁阀16.Turn over –翻转器17.Degate –切料口18.Bearing---轴承19.Picker---爪子20.Pusher –推动器29.Driver---驱动30.Sensor –感应器31.Inspection---检查32.Parameter---参数33.Manual---手动,手册34.Reset---复位35.Initialing---初始化36.Guide –导轨37.Substrate---基板38.Device---产品种类39.Lot Traveller---随工单40.Magazine---盒子48.Temperature---温度49.Hopper –漏斗press air –压缩空气51.Over flow—反面漏胶工位–BGA Molding & Plasma II52.Semiconductor---半导体53.Molding –模封54.Plasma –离子55.Operation –操作56.Flange –法兰盘57.Pump –泵58.Chamber –腔体59.Vent –气孔60.Value –值61.Filament –-灯丝62.Filament holder –灯丝座63.Alarm---报警64.Error---错误65.Inspection---检查66.Parameter---参数67.Manual---手动,手册68.Reset---复位69.Initialing---初始化工位–BGA Laser Marking1.Parameter---参数2.Statistics---统计3.Utility---应用4.Marking Fixture—框架ser Marking—激光6.Diode—二极管7.Power line—灯管式8.Power supply—电流17.Motor—马达18.Driver—驱动器19.Mouse—鼠标20.Fan—风扇21.Wire—线22.Connection—连接23.Jam—阻挡24.Sensor—传感器32.Reset –复位mp –灯管34.Keyboard –键盘35.Step –步进36.Alarm –报警37.Error –错误38.Microcard –微型控制卡39.Control –控制9.Input loader—进料负载10.Output loader—出料负载11.Input track—进料轨道12.Marking track—打印轨道13.Chammber—箱子14.Filter –过滤器15.Tuke –水管16.Semiconductor---半导体25.Cylinder—气缸26.Water tempreture—水温27.Current –电流28.V oltage—电压29.Frequency –频率30.Fine adjust –精确调整31.Conversion –换产品工位–BGA SBP1.Semiconductor---半导体2.Solder Ball Placement---放球3.Onload---上料4.Pusher---推杆5.belt—皮带6.Timing Belt---同步带7.Transfer---传送8.Safety Door---安全门21.Flux Head---助焊剂头22.Pin---针23.Reject Station---淘汰位置24.Offload---下料25.Reflow Oven---回流焊炉26.Profile---温度曲线27.Alarm---报警28.Error---错误41.Missing Ball---少球42.Double Ball---两个球43.Stop---停止44.Emergency Stop---紧急停止45.Input Card---输入卡46.Elevator---升降机47.Speed---速度9.Break---抱闸10.Motor---马达11.Serve Motor---伺服马达12.Step Motor---步进马达13.Cylinder---气缸14.Sensor---传感器15.Solenoid---电磁阀16.Axis---舟17.Flange---法兰18.Bearing---轴承19.Picker---爪子20.Ball Head---球头29.Driver---驱动30.Shuttle---往复传送机31.Inspection---检查32.Parameter---参数33.Manual---手动,手册34.Reset---复位35.Initialing---初始化36.Flux---助焊剂37.Substrate---基板38.Device---产品种类39.Lot Traveller---随工单40.Magazine---盒子48.Temperature---温度49.Teach Box---专用调试盒工位–BGA SSS1.Onload---上料2.Shuttle---小车3.Arm---臂4.Turret ---小塔5.Orientation---方向6.X-Y table---二维平台7.Good boat---好的产品座8.Reject boat---次品座21.Water pressure---水压22.Water curtain---水帘23.Solenoid---螺线管24.Safety door---安全门25.Flipper ---翻转板26.Carrier---传送带27.Timing belt---同步带28.Belt---皮带9.Sensor---传感器10.Cylinder---汽缸11.Motor---电机12.Step motor---步进电机13.Flow---流动14.Water pump---水泵15.Wash---洗16.Blade high---刀高17.Cutting channel---切道18.Misalignment---切偏19.Blade chip---崩裂20.Tube---管子29.Wheel---皮带轮30.Tray ---产品托盘31.Substrate---基板32.Air gun---气枪33.Air pressure---空气压力34.Cotton stick---棉签35.Alcohol---酒精36.Transfer---变压器37.Monitor---显示器38.Bearing---轴承39.Flange bearing---法兰轴承40.Microscope---显微镜BGA Testing (Process)1.Tray---产品托盘2.Unit ---一粒芯片3.Product/Device---产品4.Lot ---一批产品5.Travelog---随工单6.Open/Short(O/S)---开路/短路7.Function Reject---功能失败芯片8.Parameter Reject---参数失败芯片21.QA Sample---QA抽样22.QA Retest---QA 重测23.FT Program---生产程序24.QA Program---QA 抽样程序25.Test ---测试26.Retest---重新测试27.Sample---抽样28.Resample---重新抽样41.MPG ---存储产品组42.Cycling W/E ---循环写/擦除43.Burn-in ---一种预先发现潜在质量问题的测试44.DUT ---在测产品9.Cross Unit---没有晶元的芯片10.Die---晶元11.Qty---数量12.FE/Front End---前端(晶元工厂)13.BE/Back End---后端(封装测试厂)14.Test Program---测试程序15.Yield---成品率16.Output/Throughput---产量17.Hold---保留在本工位18.Release---可以放到下个工位19.Bin 1---测试通过的产品20.QA/QC---质量保证/控制29.Bake---烘烤30.Oven ---烤箱31.Tempareture---温度32.Duration---做某事的持续时间33.UI/User Interface---用户界面34.VM/Visual Mechanical Inspection---外观检查或机械检查35.Crack---裂开36.Scratch---划伤或擦伤37.SBL---各个Bin的统计限制值38.Wip---等待作业的产品D---蜂窝通讯事业部40.IMG---图象产品事业部工位–BGA Testing(Tester)1.Device Interface Board(DIB)芯片测试接口板2.Digital signal processing(DSP)数字信号处理3.DUT测试芯片4.A/D(analog-to-digital)converter模拟信号转换为数字信号仪5.Checker诊断程序6.EOT测试结束7.Hardware bin HANDLER分BIN信号8.High-Speed Digital(HSD)Instrument高速数字测试设备9.IMAGE交互式菜单图形系统21.Per Pin Parametric Unit(PPMU)单个pin参数测试单元22.Precision AC Subsystem II(PACSII)精密的交流测试设备23.Power Distribution Unit(PDU)电源配置器24.Mixed-Signal混合信号25.Multi-site test多位点并行测试26.Source信号源27.Digitizer数字化仪28.DSIO数字信号I/O29.Tester in a test head测试头全包容方式10.Initialize初始化11.Pinmap被测芯片管脚分配表12.Standard Test Data Format(STDF)标准测试数据格式13.Station Monitor显示测试结果的窗口14.Test computer测试机电脑15.Test function测试函数16.Test head测试头17.Test limit测试结果的上下限18.Test number测试号19.Test parameter测试参数20.Loop循环测试30.Universal Slots通用插槽31.Manipulator操纵架32.Cabinet机柜33.Electrostatic discharge(ESD)静电释放34.D/A(digital-to-analog)converter数字信号转换为模拟信号35.A/D(analog-to-digital)converter模拟信号转换为数字信号仪工位–BGA Testing(handler1)1.Handler---机械手2.Tray---(放产品的)盘子3.Bin---测试后产品的分类(一般Bin1表示好的,Bin6开短路,Bin7参数问题)4.O/S ---open/short,open开路,short短路)5.PARA---parameter 参数6.Cross unit---内部没有晶片的废品7.Unit—个体,单位,表示单个产品8.Device—产品19.Shuttle-in---进料运送装置20.Shuttle-out---出料运送装置21.Rotary Plunger---旋转测试头22.Hook—钩23.Contactor ---测试时压紧产品的装置24.Socket---测试座25.Pogo pin—测试针26.Allen Key--内六角扳手9.IC---集成电路(器件)10.DIB---Device Interface Board,产品接口板,用于连结产品和测试机11.Docking---用于连接机械手和测试机以确保产品能被良好接触加电的机械装置12.Manipulator--操纵器13.Terminal---控制终端14.DC—Device Carrier,传送产品的容器15.Screen---屏幕16.ATM-in—Automatic Tray Module-in 进料自动料盘传送装置17.ATM-out—Automatic Tray Module-out 出料自动料盘传送装置18.P&P(PNP)—Pick and Place,拿和放27.CUH---Contact Unit Holder28.Loader ---进料器29.Unloader---出料器30.Buffer—缓冲器31.Pre-centering—位置预修正装置32.Transfer—运送器33.Input---进料34.Output---出料35.Elevator---升降机36.Pre-heater---预热装置37.Ball Screw—传动螺杆38.Linear Guide---直线导轨工位–BGA Testing(handler2)1.Spring---弹簧2.Axis---轴线3.Plunger head---测试头4.DDD-Double Device Detection(重叠产品检测)5.Fibre---光纤维6.Encoder—编码器7.Binary—二进制8.Cable—电缆(线)21.Password—口令22.Menu---菜单23.Statistics—统计表24.Reset---复位25.Alarm ---报警26.Error---错误27.V-head—真空头28.Vacuum chuck (pad)—真空吸盘29.Regulator---调整器9.Tumble---翻转10.Rotate---旋转11.Twist---扭转12.Theta–角度13.Movement---运动14.Shifter---移动装置15.Vacuum---真空16.Brake—刹车17.EMO—Emergency Off,紧急停止18.Esc—Escape,退出19.Cover—盖子20.Amplifier—放大器30.Main power ---主电源31.Switch---开关,转换32.Enable—使能33.Disable—使失效34.Tray Stocker—料盘存放器35.Bush---衬套36.Magnetic---磁的37.Stroke—行(冲)程38.Jam---堵塞39.Drop—落下40.CDA–Compressed Dry Air,压缩空气工位–BGA Packing1.Packing--包装2.Tape--编带3.Leader--导带4.Trailer--尾带5.Pocket--格子6.Overlay--偏带bine--合并8.Split--分割9.Vacuum--真空21.Warpage--翘曲22.Scratch--划痕23.Crack--裂缝24.Chip--磞裂25.V oid--气孔26.Bubble--气泡27.Threshold--阈值bel--标签29.Contrast--对比度41.Transport--传送42.Damaged ball --坏球43.Protecting bar --防护带44.Ball bridging --球连体45.Tail end--尾数46.Peel force--拉力47.Ball height--球高48.Wrong orientation --错误方向49.Ball pitch--球间距10.Sealing--封合11.Tray--盘12.Vision--视觉13.Inspecting--检查14.Scanning--扫描15.Ball--球体16.Marking--打印标记17.Co-planarity--共面度18.Diameter--直径19.Reel--卷,卷盘20.Delamination--分层30.Humidity--湿度31.Indicator--指示卡32.Illumination--灯光33.Quality--质量34.Tolerance--工差35.Outline--外形/轮廓36.Dimension--尺寸37.Acceptance--接受38.Criteria--标准39.Parameter--参数40.Initialize--初始化50.Empty pocket --空格51.Short quantity --少数52.Over quantity --多数53.Carrier tape --载带54.Cover tape--盖带55.Sealing time --封合时间56.Double unit --重叠器件•Computers and Mathematics(计算机部分) •Manager of Network Administration 网络管理经理•MIS Manager 电脑部经理•Project Manager 项目经理•Technical Engineer 技术工程师•Developmental Engineer 开发工程师•Systems Programmer 系统程序员•Administrator 局域网管理员•Operations Analyst 操作分析•Computer Operator 电脑操作员•Product Support Manager 产品支持经理•Computer Operations Supervisor 电脑操作主管•Human Resources(人力资源部分)•Director of Human Resources 人力资源总监•Assistant Personnel Officer 人事助理•Compensation Manager 薪酬经理•Employment Consultant 招募顾问•Facility Manager 后勤经理•Job Placement Officer 人员配置专员•Labor Relations Specialist 劳动关系专员Recruiter 招聘人员•Training Specialist 培训专员•Vice-President of Human Resources 人力资源副总裁•Director of Information Services 信息服务主管•Systems Engineer 系统工程师•Hardware Engineer 硬件工程师•Applications Programmer 应用软件程序员•Information Analyst 信息分析•LAN Systems Analyst 系统分析•Statistician 统计员•Assistant Vice-President of Human Resources 人力资源副总裁助理•Personnel Manager 职员经理•Benefits Coordinator 员工福利协调员•Employer Relations Representative 员工关系代表•Personnel Consultant 员工顾问•Training Coordinator 培训协调员•职位名称中英文对照表•Marketing and Sales(市场与销售部分)•Vice-President of Sales 销售副总裁•Senior Customer Manager 高级客户经理•Sales Manager 销售经理•Regional Sales Manager 地区销售经理•Merchandising Manager 采购经理•Sales Assistant 销售助理•Wholesale Buyer 批发采购员•Tele-Interviewer 电话调查员房地产评估师•Assistant Customer Executive 客户管理助理•Marketing Intern 市场实习•Marketing Director 市场总监•Insurance Agent 保险代理人•Customer Manager 客户经理•Vice-President of Marketing 市场副总裁•Regional Customer Manager 地区客户经理•Sales Administrator 销售主管•Telemarketing Director 电话销售总监•Advertising Manager 广告经理•Travel Agent 旅行代办员•Real Estate Appraiser•Marketing Consultant 市场顾问•Marketing and Sales Director 市场与销售总监•Market Research Analyst 市场调查分析员•Manufacturer\'s Representative 厂家代表•Director of Subsidiary Rights 分公司权利总监•Sales Representative 销售代表•Retail Buyer 零售采购员•Real Estate Manager 房地产经理•Salesperson 销售员•Telemarketer 电话销售员•Sales Executive 销售执行者•Marketing Assistant 市场助理•Real Estate Broker 房地产经纪人•Purchasing Agent 采购代理•Product Developer 产品开发•Marketing Manager 市场经理•Advertising Coordinator 广告协调员•Advertising Assistant 广告助理•Ad Copywriter(Direct Mail) 广告文撰写人•Customer Representative 客户代表•Executive and Managerial(管理部分)•Chief Executive Officer(CEO) 首席执行官•Director of Operations 运营总监•Vice-President 副总裁•Branch Manager 部门经理•Retail Store Manager 零售店经理•HMO Product Manager 产品经理•Operations Manager 操作经理•Assistant Vice-President 副总裁助理•Field Assurance Coordinator 土地担保协调员•Management Consultant 管理顾问•District Manager 市区经理•Hospital Administrator 医院管理•Import/Export Manager 进出口经理•Insurance Claims Controller 保险认领管理员•Property Manager 房地产经理•Claims Examiner 主考官•Controller(General) 管理员•Service Manager 服务经理•Manufacturing Manager 制造业经理•Vending Manager 售买经理•Telecommunications Manager 电信业经理•Transportation Manager 运输经理•Warehouse Manager 仓库经理•Assistant Store Manager 商店经理助理•Manager(Non-Profit and Charities) 非盈利性慈善机构管理•Program Manager 程序管理经理•Insurance Coordinator 保险协调员•Project Manager 项目经理•Inventory Control Manager 库存管理经理•Regional Manager 区域经理•Chief Operations Officer(COO) 首席运营官•General Manager 总经理•Executive Marketing Director 市场行政总监•Controller(International) 国际监管•Food Service Manager 食品服务经理•Production Manager 生产经理•Administrator 医疗保险管理。

半导体mfg生产制造中常用的英文单词

半导体mfg生产制造中常用的英文单词

在半导体制造(Semiconductor Manufacturing)行业中,有许多专业术语和英文单词频繁出现,以下是一些常见的:1. Wafer - 晶圆,硅片2. Die - 芯片裸片3. Photolithography - 光刻技术4. Etching - 刻蚀5. Deposition - 沉积,包括物理气相沉积(PVD)、化学气相沉积(CVD)6. Ion Implantation - 离子注入7. Cleaning - 清洗8. Thermal Oxidation - 热氧化9. Diffusion - 扩散工艺10. Thin Film Transistor (TFT) - 薄膜晶体管11. Mask - 防护层、光罩12. Doping - 掺杂13. CMP (Chemical Mechanical Polishing) - 化学机械平坦化14. Sputtering - 溅射15. Bonding - 封装时的绑定过程16. Probe - 测试探针17. Final Test - 最终测试18. Packaging - 封装19. Silicon Wafer Fab - 晶圆厂20. Yield - 产出率,良率此外,还有许多与质量管理、设备维护、生产控制相关的词汇,例如:- Process Control - 工艺控制- Defect Inspection - 缺陷检测- Metrology - 测量科学- End-of-Line (EOL) Testing - 生产线末尾测试- Quality Assurance (QA) - 质量保证- Failure Analysis (FA) - 失效分析这些词汇共同构成了半导体制造行业的语言基础。

半导体词汇(英汉对照)

半导体词汇(英汉对照)

半导体词汇(英汉对照)1. 半导体:semiconductor2. 晶体管:transistor3. 二极管:diode4. 集成电路:integrated circuit5. 电容:capacitor8. 金属氧化物场效应管:Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET)9. 数字信号处理器:Digital Signal Processor (DSP)10. 有机发光二极管:Organic Light-Emitting Diode (OLED)11. 光纤放大器:Optical Fiber Amplifier (OFA)12. 直流-直流变换器:DC-DC Converter13. 脉冲编码调制:Pulse Code Modulation (PCM)14. 光耦合器:Optocoupler15. 调制解调器:Modem16. 电池管理系统:Battery Management System (BMS)17. 片上系统:System-on-a-Chip (SoC)18. 功率电子器件:Power Electronics Device20. 纳米技术:Nanotechnology21. 生物芯片:Biochip23. 激光器:Laser24. 双极型发射极晶体管:Bipolar Junction Transistor (BJT)28. 传感器:Sensor29. 能量收集器:Energy Harvester30. 固态驱动器:Solid State Drive (SSD)31. 磁性存储设备:Magnetic Storage Device32. 屏幕显示器:Display33. 快速门:Fast Gate35. 超高速芯片:Ultra-High-Speed Chip38. 量子计算机:Quantum Computer40. 机器人学:Robotics41. 表面声波器件:Surface Acoustic Wave (SAW) Device45. 长寿命电池:Long-Life Battery46. 红外光电探测器:Infrared Photodetector47. 树莓派:Raspberry Pi48. 可充电电池:Rechargeable Battery49. 无线充电器:Wireless Charger51. 控制电路:Control Circuit53. 逆变器:Inverter55. 拓扑优化器:Topology Optimizer57. 智能家居:Smart Home58. 传输线理论:Transmission Line Theory60. 片上调制器:On-Chip Modulator61. 内存芯片:Memory Chip63. 线性电源:Linear Power Supply64. 电机驱动器:Motor Driver66. 相变存储器:Phase-Change Memory (PCM)68. 氮化镓:Gallium Nitride (GaN)69. 自动驾驶:Autonomous Driving72. 机器学习:Machine Learning77. 差分信号:Differential Signal78. 相位锁定环:Phase Locked Loop (PLL)80. 峰值检测器:Peak Detector84. 相移器:Phase Shifter88. 滤波器:Filter91. 直流伏安表:Digital Multimeter (DMM)92. 频率计:Frequency Counter93. 降噪耳机:Noise-Canceling Headphones94. 耳返系统:In-Ear Monitoring (IEM) System95. 电学模型:Electrical Model97. 声音芯片:Audio Chip98. 跟踪器:Tracker。

半导体专业英语词汇

半导体专业英语词汇

半导体专业词汇1. acceptance testing (WA T: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POL Y ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体制造专业英语术语

半导体制造专业英语术语

半导体术语表第1页共68页半导体术语表第2页共68页amorphous 非晶的,无定型an alog 模拟信号angstrom 埃anion 阴离子an isotropic etch profile 各向异性刻蚀剖面ann eal 退火an tim ony(sb) 锑an tirelective coat in g(ARC) 抗反射涂层APCVD 常压化学气向淀积applicatio n specific IC(ASIC) 专用集成电路aqueous soluti on 水溶液area array 面阵歹Uargon (Ar) n.[化]氩arse ni c(As) 砷arsin e(AsH3) 砷化氢,砷烷ashi ng 灰化,去胶aspect ratio 深宽比,高宽比aspect ratio depe ndent etchi ng(ARDE) 与刻蚀相关的深宽比asphyxia nt 窒息剂assay n umber 检定数atmospheric adj. 大气的atmospheric pressure 大气压atmospheric pressure CVD(APCVD) 常压化学气向淀半导体术语表第3页共68页backi ng film 背膜baffle vt. 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇编(挡片块)ball grid array(BGA) 球栅阵列ballroom layout barrel reactor舞厅式布局,超净间的布局圆桶型反应室barrier metal 阻挡层金属barrier voltage 势垒电压base 基极,基区batch 批半导体术语表第4页共68页bay and chase layout 生产区和技术夹层区beam blow-up 离子束膨胀beam curre nt 束流beam decelerati on 束流减速beam energy 离子束能量beol (生产线)后端工序best focus 最佳聚焦BGA 球栅阵列Biasi ng 电压拉偏BICMOS 双极CMOS半导体术语表第5页共68页半导体术语表 第6页共68页突破步骤,起始的干法刻蚀步骤亮场检查涮洗buffered oxide etch(BOE) bulk chemical distributi on bulk gases 大批气体 bulkhead equipme nt layout bumped chip 凸点式芯片buried layer 埋层 burn-box 燃烧室(或盒) bur n-i n 老化 CA 化学放大(胶) can tilever n.[建]悬臂 can tilever paddle 悬臂桨 cap oxide 掩蔽氧化层 capacita nce电容capacita nce-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器breakthrough step brightfield detection brush scrubb ing bubbler 带鼓泡槽氧化层腐蚀缓冲液 批量化学材料配送 穿壁式设备布局半导体术语表第7页共68页caro ' s acid 3 号液carrier 载流子carrier-depleti on region 载流子耗尽层carrier gas 携带气体cassette (承)片架cati on 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD-SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面cen ter slow 中心慢速central process ing uni t(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Cha nnel 沟道cha nn el le ngth 沟道长度cha nn eli ng 沟道效应charge carrier 载流子chase技术夹层chelati ng agent 螯合齐ijchemical amplificatio n(CA) 化学放大胶chemical etch mecha半导体术语表第8页共68页nism 化学刻蚀机理chemical mecha ni cal pla narizati on (CMP) 化学机械平坦化chemical soluti on 化学溶液chemical vapor depositio n(CVD) 化学气相淀积chip 芯片chip on board(COB) 板上芯片chip scale package(CSP) 芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级别clea nroom 净化间clea nroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficie nt n.[数]系数Coefficie nt of thermal expa nsio n(CTE)热涨系数半导体术语表第9页共68页Cohere nce probe microscope 相干探测显微镜Cohere nt light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputteri ng 准直溅射Compensate v.偿还,补偿,付报酬Compo und semic on ductor 化合物半导体Con ce ntrati on 浓度Conden sation 浓缩Con ductor 导体constantly adv.不变地,经常地,坚持不懈地Co nfocal microscope 共聚焦显微镜Con formal step coverage 共型台阶覆盖Con tact 接触(孔)Con tact alig nment 接触式对准(光刻)Con tact an gle meter 接触角度仪Con tam in ati on 沾污、污染conti boat 连柱舟半导体术语表第10页共68页con ticaster [冶]连铸机Contin uous spray develop 连续喷雾显影Con tour maps 包络图、等位图、等值图Co ntrast 对比度、反差contribution n. 捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVDCopper in terc onnect 铜互连Cost of own ership(COO) 业主总成本Coval ent bond 共价键Critical dime nsio n 关键尺寸Cryoge nic aerosol clea ning 冷凝浮质清洗Cryoge nic pump(cryopump) 冷凝泵Crystal 晶体Crystal activatio n 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orie ntati on 晶向CTE 热涨系数半导体术语表第11页共68页Curre nt-drive n curre nt amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamasce ne 大马士革工艺darkfiled detectio n 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects den sity 缺陷密度defect 缺陷deglaze 漂氧化层degree of pla narity(DP) 平整度dehydrati on bake 去湿烘培,脱水烘培den sity 密度deple nti on mode 耗尽型半导体术语表第12页共68页degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt.存放,堆积vi.沉淀depositi on 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT) 可测试设计desorpt ion 解吸附作用develop in spect 显影检查developme nt 显影developer 显影液deviati on n. 背离device isolati on 器件隔离device tech no logy 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosila ne(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alig nme nt 逐个芯片对准dielectric 介质dielectric con sta nt 介电常数die matrix 芯片阵列die separati on 分片diffraction 衍射半导体术语表第13页共68页diffractio n-limited optics 限制衍射镜片diffusion 扩散diffusi on con trolled 受控扩散digital/analog 数字/模拟digital circuitdilue ntdirect chip attach( DCA)direct ion ality discrete dishi ng dislocati on dissoluti on rate dissolution rate mon itor(DRM) 溶解率监测DNQ- novolak 重氮柰醌一酚醛树脂Donor 施主dopa nt profile 掺杂刨面) doped region 掺杂区dop ing 掺杂dose mo nitor 剂量检测仪dose,Q 剂量dow nstream reactor 顺流法反应drain 漏drive-in 推进dry etch 干法刻蚀dry mecha ni cal pump 干式机械泵dry oxidati on 干法氧化dummy n.哑巴,傀儡,假人,假货adj. 假的,虚虚拟的,构的n.[计]哑元dynamic adj. 动力的,动力学的,动态的E半导体术语表第14页共68页econ omies of scale 规模经济edge bead removal 边缘去胶edge die 边缘芯片edge exclusi on 无效边缘区域electrically erasable PROM 电可擦除EPROMelectrode 电极electromigrati on 电迁徙electro n beam lithography 电子束光刻electro n cyclotro n reso nance 电子共振回旋加速器electro n shower 电子簇射,电子喷淋electro n stopp ing 电子阻止electro nic wafer map 硅片上电性能分布图electroplat ing 电镀electropolishi ng 电解拋光electrostatic chuck 静电吸盘electrostatic discharge(ESD) 静电放电ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极en dpo int detecti on 终点检测engin eeri ng n.工程(学) electrostatic discharge(EDX) 能量弥散谱仪enhan ceme nt mode 增强型epi 夕卜延epitaxial layer 夕卜延层epoxy un derfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器半导体术语表第15页共68页erosion 腐蚀,浸蚀establish vt.建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias 刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch un iformity 刻蚀均匀性etcha nt 刻蚀剂etchback pla narizati on 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporati on 蒸发even adj.平的,平滑的,偶数的,一致的,平静的, 恰好的,平均的,连贯的adv.[加强语气]甚至(…也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量半导体术语表第16页共68页extracti on electrode 吸极extreme UV 极紫外线extri nsic silic on 掺杂硅FFables 无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furn aces 快速升降温炉fault model 失效模式FCC diam ond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s laws FICK 定律field-effect tran sistor 场效应晶体管field oxide 场氧化field-by-field alig nme nt 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packag ing 最终装配和圭寸装final test 终测first in terlayer dielectric(ILD-1) 第一层层间介质fixed oxide charge 固定氧化物电荷半导体术语表第17页共68页flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal le ngth 焦距focal pla ne 焦平面focal point 焦点focus 聚焦focus ion beam(FIB) 聚焦离子束footpri nt 占地面积formula n.公式,规则,客套语forward bias 正偏压four-po int probe 四探针frenkel defect Frenkel 缺陷fron t-ope ning uni fied pod(FOUP)前开口盒fun ctio nal test 功能测试furn ace flat zone 恒温区Gg-line G 线gallium(Ga)镓gallium arse nide(GaAs) 砷化镓gap fill 间隙填充gas 气体gas cabinet 气柜gas man ifold 气瓶集装gas phase n ucleati on 气相成核gas purge 气体冲洗gas throughput 气体产量半导体术语表第18页共68页gate 栅gate oxide 栅氧化硅gate oxide in tegrity 栅氧完整性germa ni um(Ge) 错getter 俘获glass 玻璃glazi ng 光滑表面global alig nment 全局对准global pla narizatio n 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grow n oxide layer 热氧化生长氧化层HHaloge n 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic seali ng 密圭寸heteroepitaxy 异质外延heteroge neous reacti on 异质反应hexamethyldisilaza ne(HMDS)六甲基二硅氨烷high-de nsity plasma(HDPCVD) 高密度等离子体化学气相淀积high-de nsity plasma etch 高密度等离子刻蚀high-pressure oxidati on 高压氧化high-temperature diffusi on furn ace高温扩散炉high vacuum 高真空high vacuum pumps 高真空泵半导体术语表第19页共68页半导体术语表 第20页共68页IC reliability 集成电路可靠性 Iddq test ing 静态漏电流测试 image resolution 图象清晰度 图象分解力 impla nt v.灌输(注入) impurity 杂质 in creme nt n. 增加,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母in situ measurem ents 在线测量 in dex of refraction 折射率 indium 铟in ductively coupled plasma(ICP) 电感耦合等离子体 in ert gas 惰性气体in frared in terfere nce 红外干涉 in got 锭ink mark 墨水标识在线参数测试 输入/输出管脚 学院,协会 vt.创立,开始,制 定,开始(调查),提起(诉讼) in sulator 绝缘体in-li ne parametric test in put/output(I/O)pin institute n. 学会,半导体术语表第21页共68页in tegrated measurem ent tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连in terco nn ect delay 互连连线延迟in terface-trapped charge 界面陷阱电荷in terferometer 干涉仪in terlayer dielectric(ILD) 层间介质in terstitial 间隙(原子)in tri nsic silic on 本征硅in voke v. 调用ion 离子ion analyzer 离子分析仪ion beam milli ng or ion beam etch in g(IBE) 离子铣或离子束刻蚀ion impla ntati on 离子注入ion impla ntati on damage 离子注入损伤ion impla ntati on dop ing 离子注入掺杂ion impla nter 离子注入机ion projectio n lithography(IPL) 离子投影机ioni zati on 离子化ion ized metal plasma PVD 离子化金属等离子IPA PVD半导体术语表第22页共68页vapor dry 异丙醇气相干燥isolati on regions 隔离区isotropic etch profile 各向同性刻蚀刨面JJEFT结型场效应管jun ctio n(p n) PN 结jun cti on depth 结深jun cti on spik ing 结尖刺KKelvi n 绝对温度killer defect 致命缺陷ki netically con trolled react ion 功能控制效应Llam inar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusi on 横向扩散law of reflecti on 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compact ion 透镜收缩light 光light in te nsity 光强light scatteri ng 光散射lightly doped drai n(LDD) 轻掺杂漏半导体术语表第23页共68页lin ear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区lin ewidth 线宽liquid 液体lithography 光刻loaded brush 沾污的毛刷loaded effect负载效应loadlock 真空锁local in terco nn ect(LI) 局部互连local pla narizati on 局部平坦化local oxidation of silico n(LOCOS) 硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD)彳氐压化学气相淀积LSI大规模集成电路Mmagnetic CZ ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputteri ng 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop 补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,半导体术语表第24页共68页掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow con troller(MFC) 质量流量计mass spectrometer 质谱仪mass-tra nsport limited reacti on质量传输限制效应mathematical adj.数学的,精确的mea n free path(MFP) 平均自由程medium vacuum 中真空半导体术语表第25页共68页megasonic cleaning 超声清洗melt熔融membra ne con tactor 薄膜接触器,隔膜接触器membra ne filter 薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metaladj. contact 金属接触孔metal impurities 金属杂质metalstack 复合金属,金属堆叠metallizati on 金属化metalorga nic CVD 金属有机化学气相淀积metrology 度量衡学microchip 微芯片microdefect 微缺陷microlithography 微光刻microloadi ng 微负载,与刻蚀相关的深宽比micron 微米microprocessor n.[计]微处理器microprocessor unit 微处理器microrough ness 微粗糙度Miller in dices 密勒指数minienvironment 微环境mi ni mum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ion ic co ntami nan ts(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt. 更改,修改v.修改molecular beam epitaxy (MBE)分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,半导体术语表第26页共68页样片mono crystal 单晶mono lithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor currea nt en dpoi nt 电机电流终点检测(法)MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multile nel metallization 多重金属化Murphy's model 墨菲模型Nnan ometer( nm) 纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negati ne resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受)ne gati ne resist developm ent 负性光刻胶显影neutral beam trap 中性束陷阱ne xt-g ene rati on lithography 下一代光刻技术n itric acid(HNO3) 硝酸nitroge n(N2) 氮气nitrogen trifluoride(NF3) 三氟化氮半导体术语表第27页共68页nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管non critical layer 非关键层non volatile memory 非挥发性存储器n ormality 归一化notch 定位槽novolak 苯酚甲醛聚树脂材料npn npn型(三极管) n-type silicon n 型硅nu clear stopp ing 离子终止nucleati on 成核现象,晶核形成nu clei coalesce nce 核合并numerical aperture(NA) 数值孔径n-well n 阱Oobjective (显微镜的)物镜off-axis illumi natio n( OAI) 偏轴式曝光,离轴式曝光ohmic con tact 欧姆接触op amp 运算放大器optical in terferometry en dpoi nt 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correctio n(O PC) 光学临近修正半导体术语表第28页共68页optical pyrometer 光学高温计optics 光学organic compo und 有机化合物半导体术语表第29页共68页out-diffusion 反扩散outgassi ng 除气作用overdrive 过压力overetch step 过刻蚀overflow rin ser 溢流清洗overlay accuracy 套准精度overlay budget 套准偏差overlay registrati on 套刻对准oxidati on 氧化oxidati on-in duced stack ing faults(OISF) 缺陷,氧化诱生堆垛层错oxide 氧化物、氧化层、氧化膜oxidezer 氧化剂oxide-trapped charge 氧化层陷阱电荷ozo ne(O3) 臭氧Ppackage 封装管壳pad con diti oning 垫修整pad oxide 垫氧化膜paddle 悬臂n.短桨,划桨,明轮翼水,涉水vt.用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(pla nar)reactor 平板反应parallel testi ng 并行测试parameter 参数parametric test 参数测试parasitic 寄生parasitic capacita nee 寄生电容parasitic resista nce 寄生电阻parasitic tran sistor 寄生电阻器partial pressure 分压particle den sity 颗粒密度氧化诱生层积vi.划桨,戏半导体术语表第30页共68页particle per wafer per pass(PWP)每步每片上的颗粒数passivati on 钝化passivati on layer 令屯化层passive comp onents 无源元件pattern sen sitivity 图形灵敏性patterned etchi ng 图形刻蚀pattern wafer 带图形硅片patterni ng 图形转移,图形成型,刻印pc board 印刷电路版p-channel MOSFET p 沟道MOSFETPCM 工艺控制监测PEB 曝光后烘焙PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜pen tava lent 五价元素perform vt. 履行,执行,表演,演出v. performing完成任务adj. 表演的,履行的perimete array 周边阵列式(圭寸装) pH scale pH 值phase-shift mask(PSM) 相移掩膜技术phosphi ne(PH3)磷化氢phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷半导体术语表第31页共68页phosphorus oxychloride(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid gen erator(PAG) 光酸产生剂photoacoustics 光声的photoactive compou nd(PAC) 感光化合物photography n.摄影,摄影术光刻photolithography 光刻(技术)photomask 光掩膜photoresist 光刻胶photoresist stripp ing 去胶、光刻胶去除physical etch mecha nism 物理刻蚀机理physical vapor depositio n(PVD) 物理气相淀积pigtail 引出头pin grid array(PGA) 针栅阵列式(封装) pin hole 针孑L pira nha 3 号液pitch 间距pla nar 平面pla nar capacitor平面电容pla nar process 平面工艺pla narizati on 平坦化plasma 等离子体n.[解]血浆,乳浆,[物]等离子体,等离子区plasma-based dry clea ning 等离子体干法清洗plasma electro n flood 等离子电子流plasma enhancedCVD(PECVD) 等离子体增强CVD半导体术语表第32页共68页plasma-in duced damage 等离子体诱导损伤plasma pot en tial distributi on 等离子体势分布plastic dual in-li ne package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packag ing 塑料圭寸装plug 塞,填充vt. 埼塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisso n's model 泊松模型polarizati on 极化,偏振polarized light 极化光,偏振光polish 拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishi ng loop 磨拋循环polishi ng pad 拋光(衬)垫polycide 多晶硅化物polycrystal 多晶半导体术语表第33页共68页polysilic on 多晶硅polysilic on gate 多晶硅栅portion n. —部分,一分positive lithography 正性光刻positive resist 正性光刻胶positive resist developme nt 正性光刻胶显影post-develop in specti on 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphizati on 预非晶化precursor 先驱物predepositi on 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orie ntati on flat 主定位边print bias光刻涨缩量prin ted circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober 探针台process 工艺process chamber 工艺腔,工艺反应室process chemical 工艺化学process control mon itor(PCM) 工艺控制监测(图形) process latitude 工艺水平,工艺能力process recipe 工艺菜单programmable array logic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件半导体术语表第34页共68页programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt.提示,鼓动,促使,(给演员)提白adj.敏捷的,迅速的,即时的adv. 准时地n. DOS 命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportio nal ba nd 比例区,比例带,比例尺范围proximity alig ner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率pun chthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyroge nic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass an alyzer(QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管半导体术语表第35页共68页quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发ran dom access memory(RAM) 随机存储器range射程rapid thremal ann eal(RTA) 快速热退火rapid thermal processor(RTP) 快速热处理RCA clea n RCA 清洗reactio n rate limited 反应速率限制reactive ion etch(RIE) 反应离子刻蚀reactivity 反应性reactor 反应室,反应腔read-o nlymemory(ROM) 只读存储器recomb in ati on 复合redistribut ion 再分布reflection spectroscopy 反射光谱仪reflective no tchi ng 反射开槽reflow 回流refraction 折射refractory metal 难融金属regeneration 再生regene rati on 套准精度relative in dex of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt.重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA) 残余气体分析器resist光刻胶半导体术语表第36页共68页resist developme nt 光刻胶显影resista nee 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO) 反向渗透RF射频RF sputteri ng 射频溅射rinse v.嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughi ng pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scali ng按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪sea nning electro n microscope(SEM) 扫描电子显微镜sca nning projecti on alig ner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层半导体术语表第37页共68页scribe line 划片道scribe line mon itor(SLM) 划片线监测scumming 底膜sec ondary electro n 二次电子半导体术语表第38页共68页sec on dary electro n flood 二次电子流sec on dary ion mass spectrometry(SIMS)二次离子质谱(法)seed ' s model SEED模型selective etching 选择性刻蚀selective oxidati on 选择性氧化selectivity 选择性semic on ductor grade silic on 半导体极硅semic on ductor 半导体sensitivity 灵敏度shallow trench isolatio n(STI) 浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity, 方块电阻率shot size胶(点)尺寸shri nking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon n itride(SI3N4)氮化硅silic on on sapphire 蓝宝石伤硅silicon on in sulator(SOI) 绝缘体上硅silicon tetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅sin gle crystal silic on 单晶硅silylation 硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料si ngle crystal 单晶slip滑移slurry 磨料半导体术语表第39页共68页SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solve nt 溶剂SOS蓝宝石上硅Source 源source drain impla nts 源漏注入spacer n.取间隔的装置,逆电流器spatial cohere nee 空间相干spatial sig nature an alysis 空间信号分析specialty gase 特种气体species 种类specific gravity 上匕重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪sp in coati ng 光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric ( SOD)旋转介质法spin-on-glass ( SOG)旋转玻璃法spray clea ning 喷雾清洗spray rin ser 喷雾清洗槽spreadi ng resista nce probe 扩散电阻探测sputter n.喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputteri ng 溅射sputter etch 溅射刻蚀sputtered alum inum 溅射铝半导体术语表第40页共68页sputteri ng yield 溅射产额SSI小规模集成电路stacki ng fault层积缺陷,堆垛层错sta ndard clea n 1(SC-1) 1 号清洗液sta ndard clea n 2(SC-2) 2 号清洗液sta ndard mecha nical in terface(SMIF) 机械标准接口standing wave 驻波static RAM 静态存储器statistical process control ( SPC)统计过程控制step coverage 台阶覆盖step height台阶高度step-a nd-repeat alig ner 分步重复光刻机step-and-scan system 步进扫描光刻机stepper步进光刻机steppi ng motor driver 步进电机驱动器电路stepper步进光刻机stoichiometry 化学计量(配比) staggle投射标准偏差stress应力striati on 条纹strip vt.剥,剥去n. 条,带strippi ng 去胶structure 结构subatmospheric CVD 亚大气压化学气相淀积半导体术语表第41页共68页submicro n 亚微米sub-quarter micron 亚0.25 微米substrate 衬底sublimati on 升华substituti onal atom 替位原子subtract v.(〜from)减去, 减subwaverle ngth lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompressi on bonding 热压键合thermocouple 热电偶thermogravimetric an alysis (TGA) 热重量分析thermoso nic bon di ng 热超声键合thin film 薄膜半导体术语表第42页共68页thin small outli ne package(TSOP) 薄小型圭寸装III-V compou nd 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS仃OF-SIMS) 飞行时间二次离子质谱tita nium silicide 钛硅化合物TLV极限域值top surface imag ing 上表面图形topography 形貌torr 托toxic 有毒track system(also track) 轨道系统tran sie nt enhan ced diffusio n仃ED) 瞬时增强扩散tran sistor 晶体管trench 槽trench capacitor 槽电容trichlorosila ne(TCS or SiHCL3) 三氯氢硅triode pla nar reactor三真空管平面反应室triple well 三阱trivale nt 三价tun gste n(W)钨tun gste n stch back 钨反刻tun gsten hexafluoride(WF6) 六氟化钨tun gste n plug 钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin pla nes(tw inning) 双平面半导体术语表第43页共68页twin-well(twi n-tub) 双阱UULSI甚大规模集成电路ultralow pen etration air(ULPA) 超低穿透空气ultrafiltration 超过滤ultrafi ne particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow jun ctio n 超声键合(压焊)ultraviolet 紫外线undercut 钻蚀un iformity 均匀性unit cell 元包,晶胞un patter ned etchi ng(spripp ing) 无图形刻蚀(剥离)un patter ned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand 真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE) 气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜半导体术语表第44页共68页vaporizati on 气化variable n.[数]变数,可变物,变量adj. 可变的, 不定的,易变的,[数]变量的variable angle spectriscipic ellipsometry(VASE) 可变角度椭偏仪variation n.变更,变化,变异,变种,[音]变奏,变调various adj.不同的,各种各样的,多方面的,多样的vertical furn ace 立式炉via通孑Lviscous flow 粘滞流VLSI超大规模集成电路volatile memory 挥发性存储器volatile 挥发voltage regulator 温压器Wwafer cassette 硅片架wafer charg ing 硅片充电wafer electrical test(WET) 硅片电学测试wafer etch硅片刻蚀wafer flat or notch 硅片定位边或定位凹槽半导体术语表第45页共68页wireb on di ng 引线键合wiri ng 连线withi n-wafer nonun iformity(WIWNU) 片内不均匀性XX-ray X射线X-ray fluoresce nce(XRF) X 射线荧光性半导体术语表第46页共68页X-ray lithography X 射线光刻X-ray photoelectron spectroscopy ( XPS) X 射线光电能谱仪YYield 成品率Yield man agem ent system 成品率管理系统ZZeta potential zeta 电势zone n.地域,地带,地区,环带,圈vt.环绕,使分成地带vi.分成区半导体术语表第47页共68页10. Ammonium fluoride : NH4F11. Ammonium hydroxide : NH4OH12. Amorphous silicon : a -Si,非晶硅(不是多晶硅)13. An alog :模拟的14. Angstrom : A (1E-10m)埃15. An isotropic :各向异性(如POLY ETCH16. AQL(Accepta nce Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质量标准 (不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(A ntireflective coat in g) :抗反射层(用于METAL等层的光刻)18. An tim on y(Sb)锑19. Argon (Ar)氩20. Arse nic(As) 砷21. Arsen ic trioxide(As2O3) 三氧化二砷22. Arsi ne(AsH3)23. Asher :去胶机24. Aspect ration :形貌比(ETCH中的深度、宽度比)25. Autodopi ng :自搀杂(外延时SUB的浓度高,导致有杂质半导体术语表第48页共68页蒸发到环境中后,又回掺到外延层)26. Back end :后段(CONTACT S后、PCM测试前)27. Baseli ne :标准流程28. Benchmark :基准29. Bipolar :双极30. Boat :扩散用(石英)舟31. CD : ( Critical Dimension )临界(关键)尺寸。

半导体专业英语词汇

半导体专业英语词汇

半导体专业词汇1。

acceptance testing (W AT: wafer acceptance testing)2. acceptor:受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4。

Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6。

Align mark(key):对位标记7. Alloy:合金8。

Aluminum:铝9。

Ammonia:氨水10。

Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α—Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15。

Anisotropic:各向异性(如POL Y ETCH)16。

AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17。

ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23。

Asher:去胶机24。

Aspect ration:形貌比(ETCH中的深度、宽度比)25。

Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26。

Back end:后段(CONTACT以后、PCM测试前)27。

Baseline:标准流程28。

Benchmark:基准29。

Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体常用英语词汇

半导体常用英语词汇

MFG 常用英文单字Semiconductor半导体导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。

导体:金、银、铜、铁、人、水……导电系数大,传导容易绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。

Lot 批;一批芯片中最多可以有25片,最少可以只有一片。

ID Identification的缩写。

用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。

Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。

Lot ID 每一批芯片有自己的批号,叫Lot ID。

Part ID 各个独立的批号可以共享一个型号,叫Part ID。

WIP Work In Process,在制品。

从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。

一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片,称为Stage WIP。

Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。

Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在上一站加工时,本站便要空着机台等待Super Hot Run。

Hot Run的优先级为2,紧急程度比Super Hot Run次一级。

Normal的优先级为3,视为正常的等级,按正常的派货原则,或视常班向生产指令而定。

Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。

Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。

Spec. 规格Specification的缩写。

产品在机台加工过程中,每一站均设定规格。

机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格内。

半导体行业专业词汇

半导体行业专业词汇

半导体行业专业词汇. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体行业的英单词和术语

半导体行业的英单词和术语

半导体行业的英单词和术语1. Semiconductor(半导体):指一种导电性能介于导体和绝缘体之间的材料,广泛应用于电子器件中。

3. Integrated Circuit(集成电路):简称IC,将大量的微小电子元件(如晶体管、电阻、电容等)集成在一块半导体芯片上。

4. Transistor(晶体管):一种半导体器件,具有放大信号和开关功能,是现代电子设备的基础组件。

5. Diode(二极管):一种具有单向导通特性的半导体器件,常用于整流、稳压等电路。

6. MOSFET(金属氧化物半导体场效应晶体管):一种常见的晶体管类型,广泛应用于放大器和开关电路。

7. CMOS(互补金属氧化物半导体):一种集成电路技术,采用NMOS和PMOS晶体管组合,具有低功耗、高集成度等优点。

8. Wafer(晶圆):指经过切割、抛光等工艺处理的半导体材料,用于制造集成电路。

9. Photolithography(光刻):在半导体制造过程中,利用光刻技术将电路图案转移到晶圆上的过程。

10. Etching(刻蚀):在半导体制造过程中,通过化学反应或物理方法去除晶圆表面不需要的材料。

11.掺杂(Doping):在半导体材料中引入其他元素,以改变其导电性能。

12. Chip(芯片):指经过封装的集成电路,是电子设备的核心组成部分。

13. PCB(印刷电路板):一种用于支撑和连接电子元件的板材,上面布满了导电线路。

14. Moore's Law(摩尔定律):指集成电路上可容纳的晶体管数量大约每两年翻一番,预测了半导体行业的发展趋势。

15. EDA(电子设计自动化):指利用计算机软件辅助设计电子系统,包括电路设计、仿真、验证等环节。

16. Foundry(代工厂):专门为其他公司生产半导体芯片的企业。

17. Semiconductor Equipment Manufacturer(半导体设备制造商):为半导体行业提供生产设备的公司。

半导体英语词汇大全

半导体英语词汇大全

11. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)223. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体专业词汇

半导体专业词汇

半导体专业词汇PCB词汇一、综合词汇1、印制电路:printed circuit2、印制线路:printed wiring3、印制板:printed board4、印制板电路:printed circuit board (pcb)5、印制线路板:printed wiring board(pwb)6、印制元件:printed component7、印制接点:printed contact8、印制板装配:printed board assembly9、板:board10、单面印制板:single-sided printed board(ssb)11、双面印制板:double-sided printed board(dsb)12、多层印制板:mulitlayer printed board(mlb)13、多层印制电路板:mulitlayer printed circuit board14、多层印制线路板:mulitlayer prited wiring board15、刚性印制板:rigid printed board16、刚性单面印制板:rigid single-sided printed borad17、刚性双面印制板:rigid double-sided printed borad18、刚性多层印制板:rigid multilayer printed board19、挠性多层印制板:flexible multilayer printed board20、挠性印制板:flexible printed board21、挠性单面印制板:flexible single-sided printed board22、挠性双面印制板:flexible double-sided printed board23、挠性印制电路:flexible printed circuit (fpc)24、挠性印制线路:flexible printed wiring25、刚性印制板:flex-rigid printed board, rigid-flex printed board 26、刚性双面印制板:flex-rigid double-sided printedboard, rigid-flex double-sided printed 27、刚性多层印制板:flex-rigid multilayer printed board, rigid-flex multilayer printed board 28、齐平印制板:flush printed board 29、金属芯印制板:metal core printed board 30、金属基印制板:metal base printed board 31、多重布线印制板:mulit-wiring printed board 32、陶瓷印制板:ceramic substrate printed board 33、导电胶印制板:electroconductive paste printed board 34、模塑电路板:molded circuit board 35、模压印制板:stamped printed wiring board 36、顺序层压多层印制板:sequentially-laminated mulitlayer 37、散线印制板:discrete wiring board 38、微线印制板:micro wire board 39、积层印制板:buile-up printed board 40、积层多层印制板:build-up mulitlayer printed board (bum) 41、积层挠印制板:build-up flexible printed board 42、表面层合电路板:surface laminar circuit (slc) 43、埋入凸块连印制板:b2it printed board 44、多层膜基板:multi-layered film substrate(mfs) 45、层间全内导通多层印制板:alivh multilayer printed board 46、载芯片板:chip on board (cob) 47、埋电阻板:buried resistance board 48、母板:mother board 49、子板:daughter board 50、背板:backplane 51、裸板:bare board 52、键盘板夹心板:copper-invar-copper board 53、动态挠性板:dynamic flex board 54、静态挠性板:static flex board 55、可断拼板:break-away planel 56、电缆:cable 57、挠性扁平电缆:flexible flat cable (ffc) 58、薄膜开关:membrane switch 59、混合电路:hybrid circuit 60、厚膜:thick film 61、厚膜电路:thick film circuit 62、薄膜:thin film 63、薄膜混合电路:thin film hybrid circuit 64、互连:interconnection 65、导线:conductor trace line 66、齐平导线:flush conductor 67、传输线:transmission line 68、跨交:crossover 69、板边插头:edge-board contact 70、增强板:stiffener 71、基底:substrate 72、基板面:real estate 73、导线面:conductor side 74、元件面:component side 75、焊接面:solderside 76、印制:printing 77、网格:grid 78、图形:pattern 79、导电图形:conductive pattern 80、非导电图形:non-conductive pattern 81、字符:legend 82、标志:mark 二、基材: 1、基材:base material 2、层压板:laminate 3、覆金属箔基材:metal-clad bade material 4、覆铜箔层压板:copper-clad laminate (ccl) 5、单面覆铜箔层压板:single-sided copper-clad laminate 6、双面覆铜箔层压板:double-sided copper-clad laminate 7、复合层压板:composite laminate 8、薄层压板:thin laminate 9、金属芯覆铜箔层压板:metal core copper-clad laminate 10、金属基覆铜层压板:metal base copper-clad laminate 11、挠性覆铜箔绝缘薄膜:flexible copper-clad dielectric film 12、基体材料:basis material 13、预浸材料:prepreg 14、粘结片:bonding sheet 15、预浸粘结片:preimpregnated bonding sheer 16、环氧玻璃基板:epoxy glass substrate 17、加成法用层压板:laminate for additive process 18、预制内层覆箔板:mass lamination panel 19、内层芯板:core material 20、催化板材:catalyzed board ,coated catalyzed laminate 21、涂胶催化层压板:adhesive-coated catalyzed laminate 22、涂胶无催层压板:adhesive-coated uncatalyzed laminate 23、粘结层:bonding layer 24、粘结膜:film adhesive 25、涂胶粘剂绝缘薄膜:adhesive coated dielectric film 26、无支撑胶粘剂膜:unsupported adhesive film 27、覆盖层:cover layer (cover lay) 28、增强板材:stiffener material 29、铜箔面:copper-clad surface 30、去铜箔面:foil removal surface 31、层压板面:unclad laminate surface 32、基膜面:base film surface 33、胶粘剂面:adhesive faec 34、原始光洁面:plate finish 35、粗面:matt finish 36、纵向:length wise direction 37、模向:cross wise direction 38、剪切板:cutto size panel 39、酚醛纸质覆铜箔板:phenolic cellulose paper copper-clad laminates(phenolic/paper ccl) 40、环氧纸质覆铜箔板:epoxide cellulose paper copper-clad laminates (epoxy/paper ccl)41、环氧玻璃布基覆铜箔板:epoxide woven glass fabric copper-clad laminates 42、环氧玻璃布纸复合覆铜箔板:epoxide cellulose paper core, glass cloth surfaces copper-clad laminates 43、环氧玻璃布玻璃纤维复合覆铜箔板:epoxide non woven/woven glass reinforced copper-clad laminates 44、聚酯玻璃布覆铜箔板:ployester woven glass fabric copper-clad laminates 45、聚酰亚胺玻璃布覆铜箔板:polyimide woven glass fabric copper-clad laminates 46、双马来酰亚胺三嗪环氧玻璃布覆铜箔板:bismaleimide/triazine/epoxide woven glass fabric copper-clad lamimates 47、环氧合成纤维布覆铜箔板:epoxide synthetic fiber fabric copper-clad laminates 48、聚四乙烯玻璃纤维覆铜箔板:teflon/fiber glass copper-clad laminates 49、超薄型层压板:ultra thin laminate 50、陶瓷基覆铜箔板:ceramics base copper-clad laminates 51、紫外线阻挡型覆铜箔板:uv blocking copper-clad laminates 三、基材的材料 1、 a阶树脂:a-stage resin 2、 b阶树脂:b-stage resin 3、 c阶树脂:c-stage resin 4、环氧树脂:epoxy resin 5、酚醛树脂:phenolic resin 6、聚酯树脂:polyester resin 7、聚酰亚胺树脂:polyimide resin 8、双马来酰亚胺三嗪树脂:bismaleimide-triazine resin 9、丙烯酸树脂:acrylic resin 10、三聚氰胺甲醛树脂:melamine formaldehyde resin 11、多官能环氧树脂:polyfunctional epoxy resin 12、溴化环氧树脂:brominated epoxy resin 13、环氧酚醛:epoxy novolac 14、氟树脂:fluroresin 15、硅树脂:silicone resin 16、硅烷:silane 17、聚合物:polymer 18、无定形聚合物:amorphous polymer 19、结晶现象:crystalline polamer 20、双晶现象:dimorphism 21、共聚物:copolymer 22、合成树脂:synthetic 23、热固性树脂:thermosetting resin 24、热塑性树脂:thermoplastic resin 25、感光性树脂:photosensitive resin 26、环氧当量:weight per epoxy equivalent (wpe) 27、环氧值:epoxy value 28、双氰胺:dicyandiamide 29、粘结剂:binder 30、胶粘剂:adesive 31、固化剂:curing agent 32、阻燃剂:flame retardant 33、遮光剂:opaquer 34、增塑剂:plasticizers 35、不饱和聚酯:unsatuiated polyester 36、聚酯薄膜:polyester 37、聚酰亚胺薄膜:polyimide film (pi) 38、聚四氟乙烯:polytetrafluoetylene (ptfe) 39、聚全氟乙烯丙烯薄膜:perfluorinated ethylene-propylene copolymer film (fep) 40、增强材料:reinforcing material 41、玻璃纤维:glass fiber 42、 e玻璃纤维:e-glass fibre 43、d玻璃纤维:d-glass fibre 44、s玻璃纤维:s-glass fibre 45、玻璃布:glass fabric 46、非织布:non-woven fabric 47、玻璃纤维垫:glass mats 48、纱线:yarn 49、单丝:filament 50、绞股:strand 51、纬纱:weft yarn 52、经纱:warp yarn 53、但尼尔:denier 54、经向:warp-wise 55、纬向:weft-wise, filling-wise 56、织物经纬密度:thread count 57、织物组织:weave structure 58、平纹组织:plain structure 59、坏布:grey fabric 60、稀松织物:woven scrim 61、弓纬:bow of weave62、断经:end missing 63、缺纬:mis-picks 64、纬斜:bias 65、折痕:crease 66、云织:waviness 67、鱼眼:fish eye 68、毛圈长:feather length 69、厚薄段:mark 70、裂缝:split 71、捻度:twist of yarn 72、浸润剂含量:size content 73、浸润剂残留量:size residue 74、处理剂含量:finish level 75、浸润剂:size 76、偶联剂:couplint agent 77、处理织物:finished fabric 78、聚酰胺纤维:polyarmide fiber 79、聚酯纤维非织布:non-woven polyester fabric 80、浸渍绝缘纵纸:impregnating insulation paper 81、聚芳酰胺纤维纸:aromatic polyamide paper 82、断裂长:breaking length 83、吸水高度:height of capillary rise 84、湿强度保留率:wet strength retention 85、白度:whitenness 86、陶瓷:ceramics 87、导电箔:conductive foil 88、铜箔:copper foil 89、电解铜箔:electrodeposited copper foil (ed copper foil) 90、压延铜箔:rolled copper foil 91、退火铜箔:annealed copper foil 92、压延退火铜箔:rolled annealed copper foil (ra copper foil) 93、薄铜箔:thin copper foil 94、涂胶铜箔:adhesive coated foil 95、涂胶脂铜箔:resin coated copper foil (rcc) 96、复合金属箔:composite metallic material 97、载体箔:carrier foil 98、殷瓦:invar 99、箔(剖面)轮廓:foil profile 100、光面:shiny side 101、粗糙面:matte side 102、处理面:treated side 103、防锈处理:stain proofing 104、双面处理铜箔:double treated foil 四、设计 1、原理图:shematic diagram 2、逻辑图:logic diagram 3、印制线路布设:printed wire layout 4、布设总图:master drawing 5、可制造性设计:design-for-manufacturability 6、计算机辅助设计:computer-aided design.(cad) 7、计算机辅助制造:computer-aided manufacturing.(cam) 8、计算机集成制造:computer integrat manufacturing.(cim) 9、计算机辅助工程:computer-aided engineering.(cae) 10、计算机辅助测试:computer-aided test.(cat) 11、电子设计自动化:electric design automation .(eda) 12、工程设计自动化:engineering design automaton .(eda2) 13、组装设计自动化:assembly aided architectural design. (aaad) 14、计算机辅助制图:computer aided drawing 15、计算机控制显示:computer controlled display .(ccd) 16、布局:placement 17、布线:routing 18、布图设计:layout 19、重布:rerouting 20、模拟:simulation 21、逻辑模拟:logic simulation 22、电路模拟:circit simulation 23、时序模拟:timing simulation 24、模块化:modularization25、布线完成率:layout effeciency 26、机器描述格式:machine descriptionm format .(mdf) 27、机器描述格式数据库:mdf databse 28、设计数据库:design database 29、设计原点:design origin 30、优化(设计):optimization (design) 31、供设计优化坐标轴:predominant axis 32、表格原点:table origin 33、镜像:mirroring 34、驱动文件:drive file 35、中间文件:intermediate file 36、制造文件:manufacturing documentation 37、队列支撑数据库:queue support database 38、元件安置:component positioning 39、图形显示:graphics dispaly 40、比例因子:scaling factor 41、扫描填充:scan filling 42、矩形填充:rectangle filling 43、填充域:region filling44、实体设计:physical design 45、逻辑设计:logic design 46、逻辑电路:logic circuit 47、层次设计:hierarchical design 48、自顶向下设计:top-down design 49、自底向上设计:bottom-up design 50、线网:net 51、数字化:digitzing 52、设计规则检查:design rule checking 53、走(布)线器:router (cad) 54、网络表:net list 55、计算机辅助电路分析:computer-aided circuit analysis 56、子线网:subnet 57、目标函数:objective function 58、设计后处理:post design processing (pdp) 59、交互式制图设计:interactive drawing design 60、费用矩阵:cost metrix 61、工程图:engineering drawing 62、方块框图:block diagram 63、迷宫:moze 64、元件密度:component density 65、巡回售货员问题:traveling salesman problem 66、自由度:degrees freedom 67、入度:out going degree 68、出度:incoming degree 69、曼哈顿距离:manhatton distance 70、欧几里德距离:euclidean distance 71、网络:network 72、阵列:array 73、段:segment 74、逻辑:logic 75、逻辑设计自动化:logic design automation 76、分线:separated time 77、分层:separated layer 78、定顺序:definite sequence 五、形状与尺寸: 1、导线(通道):conduction (track) 2、导线(体)宽度:conductor width 3、导线距离:conductor spacing 4、导线层:conductor layer 5、导线宽度/间距:conductor line/space 6、第一导线层:conductor layer no.1 7、圆形盘:round pad 8、方形盘:square pad 9、菱形盘:diamond pad 10、长方形焊盘:oblong pad 11、子弹形盘:bullet pad 12、泪滴盘:teardrop pad 13、雪人盘:snowman pad 14、 v形盘:v-shaped pad 15、环形盘:annular pad 16、非圆形盘:non-circular pad 17、隔离盘:isolation pad 18、非功能连接盘:monfunctional pad 19、偏置连接盘:offset land 20、腹(背)裸盘:back-bard land 21、盘址:anchoring spaur 22、连接盘图形:land pattern 23、连接盘网格阵列:land grid array 24、孔环:annular ring 25、元件孔:component hole 26、安装孔:mounting hole 27、支撑孔:supported hole 28、非支撑孔:unsupported hole 29、导通孔:via 30、镀通孔:plated through hole (pth) 31、余隙孔:access hole 32、盲孔:blind via (hole) 33、埋孔:buried via hole 34、埋/盲孔:buried /blind via 35、任意层内部导通孔:any layer inner via hole (alivh) 36、全部钻孔:all drilled hole 37、定位孔:toaling hole 38、无连接盘孔:landless hole 39、中间孔:interstitial hole 40、无连接盘导通孔:landless via hole 41、引导孔:pilot hole 42、端接全隙孔:terminal clearomee hole 43、准表面间镀覆孔:quasi-interfacing plated-through hole 44、准尺寸孔:dimensioned hole 45、在连接盘中导通孔:via-in-pad 46、孔位:hole location 47、孔密度:hole density 48、孔图:hole pattern 49、钻孔图:drill drawing 50、装配图:assembly drawing 51、印制板组装图:printed board assembly drawing 52、参考基准:datum referance。

半导体专业英语词汇

半导体专业英语词汇

半导体专业词汇1. acceptance testing (W AT: wafer acceptance testing)2。

acceptor: 受主,如B,掺入Si中需要接受电子3。

ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5。

Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7。

Alloy:合金8。

Aluminum:铝9. Ammonia:氨水10。

Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13。

Analog:模拟的14。

Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POL Y ETCH)16。

AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19。

Argon(Ar)氩20. Arsenic(As)砷21。

Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23。

Asher:去胶机24。

Aspect ration:形貌比(ETCH中的深度、宽度比)25。

Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26。

Back end:后段(CONTACT以后、PCM测试前)27。

Baseline:标准流程28. Benchmark:基准29。

Bipolar:双极30. Boat:扩散用(石英)舟31. CD: (Critical Dimension)临界(关键)尺寸。

半导体行业英语专业术语

半导体行业英语专业术语

半导体行业英语专业术语1.Angle of incidence:入射角。

2.Dielectric:介电质。

3.Epitaxial Growth:外延生长。

4.Junction:结。

5.MOS transistor:MOS晶体管。

6.Lithography:光刻。

7.Photoresist:光刻胶。

8.Picking:取片。

9.Reflow soldering:热风焊接。

10.Deposition:沉积。

11.Diffusion:扩散。

12.Doping:掺杂。

13.Epitaxy:外延。

14.Furnace:炉。

15.Gate oxide:栅极氧化层。

16.Grinding:研磨。

17.Ion Implantation:离子注入。

18.Polishing:抛光。

19.Substrate:基底。

20.Chip:芯片。

21.Wafer:晶圆。

22.Yield:良率。

23.Masking:掩模。

24.Electrical Characterization:电性测试。

25.Suitability Test:可靠性测试。

26.Failure Analysis:失效分析。

27.Annealing:退火。

28.Threshold Voltage:阈值电压。

29.Voltage Transfer Curve:电压传递曲线。

30.Contact Resistance:接触电阻。

31.Electromigration:电迁移。

32.Inspection:检验。

33.CMP:表面处理。

34.CVD:化学气相沉积。

35.Metallization:金属化。

36.Microscopy:显微镜。

37.Ohmic Contact:正性接触。

38.Oxidation:氧化。

39.PECVD:电演化学气相沉积。

40.Photolithography:光刻工艺。

41.Sputtering:溅射。

42.Thermal Oxidation:热氧化。

半导体制造常用英语词汇

半导体制造常用英语词汇
半导体常用词汇
MES (Manufacturing Execution System) ERC (Emergency Response Center) ISO 9000 (International Standard Organization) ISEP(Industrial Safety Environment Protection) HR (Human Resource) ENG. (Engineering) PC(production control) TD (Technical Development) EAP(Equipment Automation Project) IT (Information Technology) DCC (Document Control Center) A Air Shower 空气洁净室 Alarm 警讯 Average 平均 APD 异常处理单 Abort 放弃 Acid 酸 Auto/Manual 自动/手动 AMHS 自动化物料传输系统 Area 区域 B Batch 群;组 Back up 备用 Bay rack 货架 Bay 工作区 Bank 储存所 C Cancel 取消 Clean room 无尘室 Cassette 装晶片的晶舟 Chemical 化学药剂 Check 检查;核对 CIM 电脑整和制造 Class 洁净室等级 CMP 化学机械研磨 Child lot 子批 Correct 正确 Cycle time 生产周期 Code 代码 Control 控制 Chip (die) 晶粒 Comment 注解 Critical layer 重要层 Confirm 确认 Chamber 反应室 CD 关键性尺寸 Cart 手推车 Chart 图表 Control Wafer 控片 D Dummy wafer 挡片 生產操作介面軟件 緊急應變中心 国际标准组织 工安环保 人事部 工程部 生产控制 技术研发部门 机台自动化方案 信息技术部门 文件管制中心 Daily check 每天检查 Diffusion 扩散 DI water 去离子水 Damage 损害 Display 展示 Double 重复;加倍 Defect 缺陷 Doping 掺杂 Downgrade 降级 Due date 交期 Discipline 纪律 E Etch 蚀刻 Error 错误 Equipment Engineer 设备工程师(EE) Emergency 紧急状况 Exit 退出 Entry 进入 Energy 能量 Environment 环境 GA (General Affairs) 总务部 Finance 财务部 PR(public relation) 公关部 Training room 训練教室 Shuttle bus 交通車 Training Course 训練课程 Internet 国际互联网络 MFG (Manufacture) 制造部 MPC(Manufacture Production Control)制造生产控制 CIM(Computer Integration Manufacture)电脑整和制造 TPC (Training & Promotion Center)训练发展中心 EQ rack 机台货架 EQ Status 机台状态 F Foundry 代工 Fail 失败 FAB 工厂 Filter 过滤器 Function 功能 G Gas 气体 Gowning room 更衣室 H Hold 暂停 Hot bake 烘烤 I Integrated Circuits 集成电路 (I.C) Idle 闲置 Implant 植入 Inter bay 自动传输轨道系统 ID(Identification) 辨认,鉴定 IPA 有机清洁溶剂 L Layer 层次 Lot 批 Line 线距 Loop 巡路 Load 载入 Log sheet 记录本 Logo 标志 Location 位置 Login 登录 Logout 退出 Lot Status 产品状态 Laundry 洗衣房 Lounge 休息室 M Machine 机器 Module 部门 Move 产量 Monitor 测机 Merge 合并 Micron 微米
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1/1
Fail
巡路
Display
失败
Load
展示
FAB
载入
Double
工厂
Log sheet
重复;加倍
Filter
记录本
Defect
过滤器
Logo
缺陷
Function
标志
Doping
功能
Location
掺杂
G位置ຫໍສະໝຸດ DowngradeGas
Login
降级
气体
登录
Due date
Gowning room
Logout
MES (Manufacturing Execution System)
ERC (Emergency Response Center)
ISO 9000 (International Standard Organization)
ISEP(Industrial Safety Environment Protection)
Idle
机器
Emergency
闲置
Module
紧急状况
Implant
部门
Exit
植入
Move
退出
Inter bay
产量
Entry
自动传输轨道系统
Monitor
进入
ID(Identification)
测机
Energy
辨认,鉴定
Merge
能量
IPA
合并
Environment 环境
有机清洁溶剂 L
Micron 微米
MTPaCnu(fTarcatuinrien)g电&脑整和制
Promotion Center)训练发
Daily check
EQ rack
Layer
每天检查
机台货架
层次
Diffusion
EQ Status
Lot
扩散
机台状态

DI water
F
Line
去离子水
Foundry
线距
Damage
代工
Loop
损害
A
Check
Air Shower
检查;核对
空气洁净室
CIM
Alarm
电脑整和制造
警讯
Class
Average
洁净室等级
平均
CMP
APD
化学机械研磨
异常处理单
Child lot
Abort
子批
放弃
Correct
Acid
正确

Cycle time
Auto/Manual
生产周期
自动/手动
Code
AMHS
代码
交期
更衣室
退出
Discipline
H
Lot Status
纪律
Hold
产品状态
E
暂停
Laundry
Etch
Hot bake
洗衣房
蚀刻
烘烤
Lounge
Error
I
休息室
错误
Integrated Circuits M
Equipment Engineer 集成电路 (I.C)
Machine
设备工程师(EE)
公关部
工安环保
Training room
训練教室
人事部
Shuttle bus
交通車
工程部
Training Course
训練课程
生产控制
Internet
国际互联网络
技术研发部门 机台自动化方案 信息技术部门 文件管制中心
MFPGC((MMaannuuffaacctuturree)
制造部
PCrIoMd(uCctoimonpCutoenr tIrnotle)g制ra造tio生n
自动化物料传输系统 Control
Area
控制
区域
Chip (die)
B
晶粒
Batch
Comment
群;组
注解
Back up
Critical layer
备用
重要层
Bay rack
Confirm
货架
确认
Bay
Chamber
工作区
反应室
Bank
CD
储存所
关键性尺寸
C
Cart
Cancel
手推车
取消
Chart
HR (Human Resource)
ENG. (Engineering)
PC(production control)
TD (Technical Development)
EAP(Equipment Automation Project)
IT (Information Technology)
DCC (Document Control Center)
Clean room
图表
无尘室
Control Wafer
Cassette
控片
装晶片的晶舟
D
Chemical 化学药剂
Dummy wafer 挡片
半导体常用词汇
生產操作介面軟件
GA (General Affairs) 总务部
緊急應變中心
Finance
财务部
国际标准组织
PR(public relation)
相关文档
最新文档