《数字系统综合训练》课程实训任务书

合集下载

数字通信系统实训报告册

数字通信系统实训报告册

一、实验目的1. 理解数字通信系统的基本原理和组成。

2. 掌握数字通信系统的实验方法和技术。

3. 学会使用数字通信系统实验设备进行实验操作。

4. 培养学生动手实践能力和团队协作精神。

二、实验原理数字通信系统是将信息源产生的模拟信号或数字信号,通过调制、传输、解调等过程,实现远距离、高速率的传输。

本实验主要涉及以下原理:1. 调制:将信息信号转换成适合信道传输的信号形式。

2. 传输:通过信道将信号传输到接收端。

3. 解调:将接收到的信号恢复成原始信息信号。

4. 信道编码与解码:在信号传输过程中,对信号进行编码和解码,提高通信的可靠性。

三、实验内容1. 数字通信系统基本组成实验(1)实验目的:熟悉数字通信系统的基本组成,掌握各组成部分的功能。

(2)实验内容:搭建数字通信系统实验平台,观察各模块的连接方式,分析各模块的功能。

2. 调制与解调实验(1)实验目的:掌握数字调制与解调的基本原理和方法。

(2)实验内容:采用QAM调制方式,进行信号调制与解调实验,观察调制与解调过程。

3. 信道编码与解码实验(1)实验目的:掌握信道编码与解码的基本原理和方法。

(2)实验内容:采用卷积编码与Viterbi解码方式,进行信道编码与解码实验,观察编码与解码过程。

4. 数字通信系统综合实验(1)实验目的:综合运用所学知识,搭建完整的数字通信系统,实现信息的传输。

(2)实验内容:搭建数字通信系统实验平台,进行调制、传输、解调等过程,实现信息的传输。

四、实验步骤1. 准备实验设备,检查各设备是否正常。

2. 搭建数字通信系统实验平台,连接各模块。

3. 进行调制与解调实验,观察调制与解调过程。

4. 进行信道编码与解码实验,观察编码与解码过程。

5. 进行数字通信系统综合实验,实现信息的传输。

6. 记录实验数据,分析实验结果。

五、实验结果与分析1. 数字通信系统基本组成实验:通过实验,掌握了数字通信系统的基本组成,了解了各模块的功能。

数字系统设计实验报告

数字系统设计实验报告

一、实验目的1. 理解数字系统设计的基本概念和流程。

2. 掌握数字电路的基本设计方法和技巧。

3. 熟悉常用数字集成电路的使用方法。

4. 培养实际动手能力和团队协作精神。

二、实验内容本次实验主要围绕数字系统设计展开,包括以下几个方面:1. 数字电路原理图绘制与仿真2. 数字系统硬件描述语言(HDL)编程3. 顶层模块设计4. 系统仿真与调试三、实验步骤1. 数字电路原理图绘制与仿真(1)根据实验要求,设计数字电路原理图,如数字时钟、移位寄存器等。

(2)使用Multisim等仿真软件对原理图进行仿真,验证电路功能。

2. 数字系统硬件描述语言(HDL)编程(1)根据原理图,使用Verilog或VHDL等HDL语言编写代码。

(2)对代码进行语法检查,确保代码正确。

3. 顶层模块设计(1)根据实验要求,设计顶层模块,如数字时钟控制器、移位寄存器控制器等。

(2)将底层模块(如计数器、触发器等)集成到顶层模块中。

4. 系统仿真与调试(1)使用仿真软件对顶层模块进行仿真,验证系统功能。

(2)根据仿真结果,对代码进行修改和优化,直至系统功能满足要求。

四、实验结果与分析1. 数字电路原理图绘制与仿真(1)原理图设计:根据实验要求,设计了一个数字时钟电路原理图,包括分频器、计数器、触发器等模块。

(2)仿真结果:通过仿真软件对原理图进行仿真,验证了电路功能。

2. 数字系统硬件描述语言(HDL)编程(1)代码编写:使用Verilog语言编写了数字时钟电路的代码,包括分频器、计数器、触发器等模块。

(2)代码验证:通过语法检查,确保代码正确。

3. 顶层模块设计(1)顶层模块设计:根据实验要求,设计了一个数字时钟控制器顶层模块,将底层模块集成到顶层模块中。

(2)系统仿真:通过仿真软件对顶层模块进行仿真,验证了系统功能。

4. 系统仿真与调试(1)系统仿真:通过仿真软件对顶层模块进行仿真,验证了系统功能。

(2)调试:根据仿真结果,对代码进行修改和优化,直至系统功能满足要求。

2013年版数字系统设计(双语)实验指导书(1)

2013年版数字系统设计(双语)实验指导书(1)

数字系统设计实验指导书Experiments Handbook of Digital System Design实验一:8位简单算逻运算单元电路设计8 bit Simple ALU实验学时:4学时实验类型:设计型一、目的与任务要求学生在理论课学习的基础上,掌握采用Verilog HDL、基于自顶向下的模块化设计方法;掌握在Quartus II EDA平台进行设计输入、编译、仿真的全过程。

二、内容与要求1. 内容在Quartus II EDA平台上,运用基于模块化的设计方法,采用Verilog HDL,设计一个8位简单ALU及其数据通道,功能如表,分别根据操作码实现加、减、逻辑与、或等八种运算,数据通道在读写命令控制下,完成从寄存器读出操作数及写入运算结果。

要求:其输入操作数为实验学生学号末尾开始尽量非零的四位数对应的8421码组合(例如,学号11070023两个操作数分别为32和71,对应的8421码00110010,2.要求预习实验内容,编写Verilog HDL程序。

课上,进行编程输入、编译、时序仿真。

课后写出实验报告(实验名称、实验内容、顶层框图、V erilog HDL程序、仿真波形、资源分配、实验小结)三、考核与成绩评定本实验为学生必做实验,本实验分值为20 分考核内容:预习25%,实验过程50%,实验报告25%。

成绩评定方法:根据考核内容进行综合评定。

四、实验说明本实验的重点在于自顶向下的模块化设计的概念及设计方法。

五、参考资料ALU及数据通道参考教材《VerilogHDL高级数字系统设计》P478和P208实验三、基于循环算法的数字签名分析电路的验证Verification of Repetitive Algorithm based Digital SignatureAnalysis Circuit实验学时:2 实验类型:验证型一、目的与任务:要求学生在理论课学习的基础上,分析基于CRC码进行数字签名验证功能需求,学习用Verilog HDL中循环算法进行行为建模的功能设计,在EDA平台-QuartusII下,完成设计的输入及编译综合以及仿真验证。

数字电子技术综合实训指导书

数字电子技术综合实训指导书

数字电子技术综合实训指导书数字电子技术是一个高精度、高清晰度、高速度的技术领域。

为了保证数字电子技术的实际应用效果,需要进行综合实训。

数字电子技术综合实训涉及到多个学科领域,需要考虑到电子技术的基础理论以及实际应用。

因此,制作一本数字电子技术综合实训指导书非常有必要。

数字电子技术综合实训指导书是一份综合指导手册,旨在帮助学生了解实际操作过程,掌握实际技能,提高实际工作能力。

数字电子技术综合实训指导书由基础理论、实验任务、实验方法、实验步骤、实验结果、实验心得等多个部分组成。

首先,基础理论是数字电子技术综合实训指导书的重要部分。

学生需要了解数字电子技术的基本原理,掌握数字电路的基本知识,以便在实际操作中做到心中有数、熟练无误。

其次,实验任务一般由实验目的、实验内容、实验要求等部分组成。

学生根据实验任务中设定的目标和内容,制定实验方案,确定实验流程,掌握实验技能。

实验方法是数字电子技术综合实训指导书的另一个重要部分。

学生需要掌握实验所需的设备和工具,了解实验方法和实验流程,以便在实验操作过程中提高实际工作能力。

实验步骤是数字电子技术综合实训指导书的重要内容之一。

实验步骤描述了实验操作的具体过程,包括如何设置实验条件、如何使用实验仪器、如何操作实验程序等。

实验结果是数字电子技术综合实训指导书的另一个重要部分。

通过实验结果,学生可以了解实验操作的实际效果,查看实验的具体数据,评估实验的成功性,提高实验操作的技能。

最后,实验心得是数字电子技术综合实训指导书的重要内容之一。

实验心得需要学生回顾实验过程,总结经验教训,评估实验操作效果,提高实际操作能力。

总之,数字电子技术综合实训指导书是学生掌握数字电子技术基本知识,提高实际操作能力的有效工具。

学生应该认真阅读数字电子技术综合实训指导书,并按照实验步骤进行实践操作。

只有熟练掌握数字电子技术实验能力,才能在实际工作中提供更好的服务。

数字系统设计实践实验报告

数字系统设计实践实验报告

实验项目四信号存储与回放实验报告吴衡106040363王皓106040026目录摘要和关键词 (2)一.设计任务与要求 (2)1.1 设计任务 (2)1.2 技术指标 (2)1.3 题目评析 (2)二.实验方案 (2)2.1方案流程图 (2)2.2方案解析 (3)三.系统硬件设计 (3)3.1 系统的总体设计(设计思想、设计步骤),系统的计算。

(3)3.2 单元电路(或称功能模块)的设计,单元的参数计算。

(4)3.3 单元电路的功能以及工作原理的分析(单元具体电路图)。

(4)3.3.1直通回路模块: (4)3.3.2存储第一个数据的存储器模块: (5)3.3.3 DPCM模块: (6)3.3.4解码器模块: (6)3.3.5单次播放控制器: (7)3.3.6主控片段与RAM模块: (7)四.代码算法解析 (8)4.1存储第一个数据的存储器模块代码(cunchu.vhdl) (8)代码解析: (8)4.2DPCM模块代码:(zhuanhuan.vhdl) (8)代码解析: (9)4.3解码器模块代码:(shuzhi.vhdl) (9)代码解析: (9)4.4单次播放控制器代码:(kongzhi2.vhdl) (10)代码解析: (10)4.5主控片段模块代码:(kongzhi.vhdl) (10)代码解析: (11)五.系统测试 (11)5.1 RAM测试: (11)5.2 各模块测试: (11)5.3 示波器输出: (12)六.附录 (12)6.1 存储第一个数据的存储器模块代码:(cunchu.vhdl) (12)6.2 DPCM模块代码:(zhuanhuan.vhdl) (13)6.3 解码器模块代码:(shuzhi.vhdl) (13)6.4 单次播放控制器代码:(kongzhi2.vhdl) (14)6.5 主控片段模块代码:(kongzhi.vhdl) (14)6.6 电路总实验图: (16)七.实验声明 (16)摘要和关键词:信号存储、回放,ADC、DPCM、信号、解码一.设计任务与要求1.1 设计任务设计并制作一个数字化信号存储与回放系统。

《数字系统设计》实验指导书

《数字系统设计》实验指导书

数字系统设计实验指导书编者:陈汉林电子信息工程系2007 年 7月目录第一部分《数字系统设计》实验说明 (2)一、考核方式及成绩评定参考标准 (2)二、实验报告格式要求 (2)三、进度安排 (3)四、注意事项 (3)第二部分《数字系统设计》实验项目 (4)实验一出租车计费系统设计 (4)实验二频率计设计 (5)第三部分GEXIN EDAPRO/240H实验仪 (8)第四部分KH-310智能型可编程数字开发系统芯片IO对照表 (21)1第一部分《数字系统设计》实验说明一、考核方式及成绩评定参考标准每个实验设计结束后要求提交实验报告,并通过老师验收。

每次实验成绩由实验报告和验收成绩共同决定。

评分标准如下:1.根据实验拟定设计方案,简述系统工作原理,画出系统工作原理框图;(15分)2.根据技术指标要求,完成各单元电路的设计;(15分)3.总体程序框架合理、结构清晰明了;(10分)4.系统功能实现正确,仿真结果正确,在实验系统上运行正确;(30分)5.有创新点,扩展功能的实现;(10分)6.实验报告格式正确,内容完整,描述清楚,画图工整。

(20分)二、实验报告格式要求《数字系统设计》实验报告采用统一格式,包括字体、图文要求,如果格式不符,则根据情况给予扣分。

书写格式:1.报告用A4纸打印。

2.报告最多不超过10页。

3.页面设置:上2,下2,左2,右2,页眉1.5,页脚1.75。

4.采用单倍行距,标准字符间距,西文、数字等符号均采用Times New Roman 体字。

5.标题用五号黑体字,正文用五号宋体字。

6.每个表格应有自己的表序和表题,表序和表题应写在表格上方正中,表序后空一格书写表题。

表序为:表1、表2……,表格内容为小5号宋体字,表序和表题为小5号黑体字。

7.插图必须精心制作,不得徒手画,照片图应清晰,线条要匀称,图面要整洁美观。

每幅插图应有图序和图题,图序和图题应放在图位下方居中处。

图序为:图1、图2…….,图序和图题用小5号黑体字。

数字系统设计_实验报告

数字系统设计_实验报告

一、实验目的1. 熟悉数字系统设计的基本流程和方法;2. 掌握数字系统硬件描述语言(如Verilog)的基本语法和设计方法;3. 培养动手实践能力,提高数字系统设计水平;4. 了解数字系统设计中常用模块的功能和实现方法。

二、实验内容1. 数字系统硬件描述语言(Verilog)编程2. 数字系统模块设计3. 数字系统仿真与调试三、实验步骤1. 设计数字系统模块(1)分析数字系统功能需求,确定模块功能;(2)根据模块功能,设计模块的输入输出端口和内部结构;(3)使用Verilog语言编写模块代码。

2. 编写顶层模块(1)根据数字系统功能需求,设计顶层模块的输入输出端口和内部结构;(2)将已设计的模块实例化,连接各模块端口;(3)编写顶层模块代码。

3. 仿真与调试(1)使用仿真工具(如ModelSim)对顶层模块进行仿真;(2)观察仿真波形,分析模块功能是否满足设计要求;(3)根据仿真结果,对模块代码进行修改和优化;(4)重复步骤(2)和(3),直至模块功能满足设计要求。

四、实验结果与分析1. 数字系统模块设计(1)设计了一个4位加法器模块,包括两个4位输入端口、一个4位输出端口和两个进位输出端口;(2)设计了一个2位乘法器模块,包括两个2位输入端口和一个4位输出端口;(3)设计了一个8位存储器模块,包括一个8位输入端口、一个8位输出端口和一个地址输入端口。

2. 顶层模块设计(1)根据功能需求,设计了一个包含加法器、乘法器和存储器的数字系统顶层模块;(2)将已设计的模块实例化,连接各模块端口;(3)编写顶层模块代码。

3. 仿真与调试(1)使用ModelSim对顶层模块进行仿真;(2)观察仿真波形,发现加法器和乘法器功能正常,但存储器模块存在错误;(3)分析存储器模块代码,发现地址输入端口的逻辑关系错误;(4)修改存储器模块代码,重新进行仿真,验证模块功能正确。

五、实验总结1. 通过本次实验,掌握了数字系统设计的基本流程和方法;2. 学会了使用Verilog语言进行数字系统模块设计;3. 培养了动手实践能力,提高了数字系统设计水平;4. 了解数字系统设计中常用模块的功能和实现方法。

数字系统实验报告模板

数字系统实验报告模板

实验名称:____________________实验日期:____________________实验地点:____________________一、实验目的1. 理解数字系统的基本组成和原理。

2. 掌握数字电路的基本实验方法和技能。

3. 提高对数字电路的分析和设计能力。

二、实验原理(一)实验背景简要介绍数字系统的概念、发展历程及其在现代社会中的应用。

(二)实验原理1. 数字电路的基本组成和功能。

2. 数字电路的常用逻辑门及其功能。

3. 数字电路的时序逻辑电路和组合逻辑电路的设计方法。

三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 移动电源4. 实验指导书5. 计算器四、实验内容与步骤(一)实验内容1. 逻辑门电路实验2. 组合逻辑电路实验3. 时序逻辑电路实验(二)实验步骤1. 逻辑门电路实验(1)熟悉实验箱的各个功能模块。

(2)搭建简单的逻辑门电路,如与门、或门、非门等。

(3)验证电路功能,并观察输出波形。

(4)记录实验数据,分析实验结果。

2. 组合逻辑电路实验(1)根据实验要求,设计组合逻辑电路。

(2)搭建电路,连接实验箱各个模块。

(3)输入不同的输入信号,观察输出波形。

(4)记录实验数据,分析实验结果。

3. 时序逻辑电路实验(1)熟悉时序逻辑电路的基本原理。

(2)搭建简单的时序逻辑电路,如计数器、寄存器等。

(3)观察电路状态变化,分析电路功能。

(4)记录实验数据,分析实验结果。

五、实验结果与分析(一)实验结果1. 逻辑门电路实验结果2. 组合逻辑电路实验结果3. 时序逻辑电路实验结果(二)实验分析1. 分析实验数据,验证实验原理的正确性。

2. 分析实验过程中遇到的问题及解决方法。

3. 总结实验经验,提出改进建议。

六、实验结论1. 通过本次实验,掌握了数字电路的基本组成和原理。

2. 提高了数字电路的实验技能和设计能力。

3. 对数字电路在现代社会中的应用有了更深入的了解。

七、实验心得体会1. 总结实验过程中的收获和不足。

数字系统设计综合实训报告的书写要求

数字系统设计综合实训报告的书写要求

广东农工商职业技术学院机电系数字系统设计综合实训姓名:学号:实训题目:指导老师:时间:实训报告写作框架(注:每章的开头要换页)第一章设计要求与总体框图1.1设计要求1.2总体框图第二章电路设计方案及设计原理2.1 模块1(写具体的名字)的方案比较2.1.1 方案12.1.2 方案22.2 模块2(写具体的名字)的方案比较2.2.1 方案12.2.2 方案22.3模块3(写具体的名字)的方案比较2.3.1 方案12.3.2 方案2第三章制作及性能测试与分析4.1 制作过程4.2 测试环境与测试条件4.3测试结果心得体会参考文献致谢(表达对指导教师和其他有关教师和同学的感谢之意。

)附录(附录1程序,附录2 所用元器件清单)实训报告写作格式1、报告一律用国际标准A4型纸(297mm×210mm)打印。

页边距为:上边距20mm,下边距20mm,左边距20mm,右边距15mm。

2、文字图形一律从左至右横写横排。

文字一律通栏编辑,使用规范的简化汉字。

除非必要,不使用繁体字。

忌用异体字、复合字及其他不规范的汉字。

3、报告各部分的编排式样及字体字号:(1)目录:用自动生成目录的方式生成。

(2)摘要及关键字:标题三号黑体,顶部居中,上下各空一行;内容用小四号宋体,每段起首空两格,回行顶格。

关键字三个字用四号黑体,内容用小四号宋体;关键字通常不超过5个,各字间用分号隔开。

(3)正文文字:另起页,论文标题用3号黑体,顶部居中排列,上下各空一行;正文文字一般用小四号宋体,每段起首空两格,回行顶格,1.5倍行距。

(4)正文文中标题:一级标题,标题序号为“第一章”,三号黑体,独占行,居中排列,末尾不加标点;二级标题,标题序号为“1.1”,小三号黑体,独占行,末尾不加标点;三级标题,标题序号为“1.1.1”,四号黑体,独占行,末尾不加标点;四、五级标题序号分别为“(1)”和“①”,与正文字体字号相同,可根据标题的长短确定是否独占行。

数字系统综合实验指导书

数字系统综合实验指导书

数字系统综合设计指导书电子信息工程教研室辽宁工业大学2008-5-24目录第一章 MAX + PLUS Ⅱ入门 (3)第二章数字系统综合设计 (32)实验一3-8译码器的设计 (32)实验二组合电路实验 (48)实验三触发器功能模拟 (50)实验四扫描显示电路的驱动 (54)实验五计数器及时序电路 (56)第一章 MAX + PLUS Ⅱ入门在这一章中,首先用最简单的实例介绍使用MAX + PLUS Ⅱ软件的全过程。

进入Windows 98 后,双击MAX + PLUS Ⅱ图标,屏幕如图1.1所示。

图1.1 MAX + PLUS Ⅱ管理器1.1原理图输入原理图输入的操作步骤如下:(1)建立第一个项目,单击图1.2中的File菜单图1.2建立新项目的屏幕将鼠标移到Project选项后,单击Name 选项,屏幕如图1.3所示。

在Project Name的输入编辑框中键入inv后,单击OK按钮,屏幕如图1.1所示;图1.3指定项目名的屏幕(2)在图1.2中单击File菜单后,单击New选项,屏幕如图1.4所示;图1.4选取文件类型屏幕(3)在图1.4中选择Graphic Edittor file (既其左边出现小黑圆点),单击OK按钮后,便进入到MAX + PLUS Ⅱ的图形编辑器,如图1.5所示;图1.5空白的图形编辑器(4)在图1.5的空白处双击鼠标左键,屏幕如图1.6所示;图1.6选择元件符号屏幕(5)在图1.6的Symbol Name 输入编辑框中键入NOT后,单击OK按钮。

此时可以看到光标上粘着被选的符号,将其移动的合适的位置单击鼠标左键,使其固定;(6)重复(4)、(5)两步,给图中放置一个input和output符号,如图1.7所示;图1.7放置了所有元件符号的屏幕(7)在图1.7中,将光标移到input右侧待连线处单击鼠标左键后,再移动光标到反相器的左侧单击鼠标左键,既可看到在input和反相器之间有一条线生成;(8)重复(7)的方法,将反相器和output 连接起来,完成所有连线的电路如图1.8所示;(9)在图1.8中,双击input-name使其衬底变黑后,再键入A,即命名该输入信号名为A,用相同的方法将输出信号命名为B;图1.8完成全部连线的屏幕(10)在图1.8中单击存文件按钮,屏幕如图1.9所示;图1.9欲保存文件前的屏幕(11)在图1.9中,检查File Name 的文本编辑框为inv.gdf (因为项目名为inv,故在缺省情况下,均是在项目名加不同的扩展名);(12)在图1.9中,单击OK按钮,屏幕如图1.8所示;(13)在图1.8中,单击编译器按钮(水平工具条左数第11个),屏幕如图1.10所示;(14)在图1.10中,单击Processing菜单,查看Timing SNF Extractor 选项,使其不被选中(既该行前无对号),处理完后,再回到图1.10的环境下;图1.10编译器屏幕(15)图1.10中,单击Assign/Device菜单,屏幕如图1.11所示;图1.11选择待编程芯片屏幕(16)完成如图1.11所示的选择后,单击OK按钮,再次回到图1.10的环境下;(17)在图1.10中,单击Start按钮后,计算机开始处理数据,其进度情况用一水平红线表示,结束后屏幕如图1.12所示;(18)在图1.12中,如果有“0 error”和“0 warning”字符出现,则表示编译完全通过,单击确定(OK)按钮后,屏幕显示如图1.10所示;(19)退出编译窗口,既在图1.10中单击“X”,屏幕显示如图1.8所示;图1.12完成编译后的屏幕(20)在图1.8中单击芯片编程按钮,屏幕显示如图1.13所示,若与图1.13所示不同,单击JTAG菜单,使所有选项前均无对号后,单击Option 菜单,进入Hardware Setup选项中做适当设置,无误后进行下一步;图1.13对芯片编程屏幕(21)在图1.13,单击Program 按钮(注意必须给正常供电实验板,既实验板上的电源指示灯点亮),编程期间实验板上的编程指示灯点亮,屏幕上的红色进度条不断地向右移动,编程完成后,屏幕如图1.14所示;图1.14编程完成的屏幕(22)在图1.14,单击OK按钮后,关闭编程窗口,既单击“X”,屏幕如图1.8所示;(23)在图1.8,单击层次显示器按钮,屏幕如图1.15所示;图1.15层次结构屏幕(24)在图1.15中,双击fit 图标,并适当移动垂直行条,屏幕如图1.16所示;图1.16芯片配置的屏幕(25)在图1.16中,信号A被自动分配为2脚,信号B被自动分配为17脚;(26)验证其逻辑功能。

数字化测图综合实习任务书(2周)(5篇)

数字化测图综合实习任务书(2周)(5篇)

数字化测图综合实习任务书(2周)(5篇)第一篇:数字化测图综合实习任务书(2周)数字测图综合实习任务书根据测绘工程专业教学计划安排,在完成数字测图课堂教学和课堂实习任务后,必须进行为期两周左右时间的野外综合性教学实习或生产实习。

这次实习与前述课堂实习相比,时间更加集中、内容更加广泛、程序更加系统,它完全从数字测图生产实际出发,加深对书本知识的进一步理解、掌握与综合应用,是培养学生理论联系实际、独立工作能力、综合分析问题和解决问题的能力、组织管理能力等方面的重要教学环节,也是一次具体的、生动的、全面的技术实践活动。

在传授知识、开发智力、综合素质培养方面,具有更加重要的意义。

一、实习目的野外教学实习是在校内学完了数字测图的理论和方法后,模拟或结合实际生产任务所进行的一次综合性实践。

通过2周左右时间的实习,应达到以下目的:1.巩固课堂教学知识,加深对数字测图基本理论的理解,能够用有关理论指导作业实践,做到理论与实践相统一,提高学生分析问题、解决问题的能力,从而对数字测图的基本内容得到一次实际的应用,使所学知识进一步巩固、深化。

2.对学生进行数字测图野外作业的基本技能训练,提高动手能力和独立工作能力。

通过实习,熟悉并掌握数字测图野外数据采集的作业程序及施测方法;3.对野外采集数据进行内业成图。

掌握用CASS数字成图软件进行内业成图的基本技能。

4.通过完成数字测图实际任务的锻炼,提高学生独立从事测绘工作的计划、组织与管理能力,培养学生良好的专业品质和职业道德,达到综合素质培养的教学目的。

二、实习组织为便于实习和平行作业,本次实习分为若干个实习小组(一般4-5人),各组设组长1人,协助指导教师负责组织本小组的各项实习、仪器的借用与保管、资料的收集与整理等各项具体工作,并处理好与其它实习小组的协调工作。

全部实习由指导教师统一指挥,班干部及各组组长应积极配合教师做好本班、本组的各项工作。

三、仪器设备与工具每组借用苏一光全站仪(包括脚架)一台、棱镜(包括脚架和基座)二个、30—50m卷尺(或皮尺)一把、记录板一块、绘图纸若干张,工具包一只、铅笔、小刀等文具用品。

现代数字系统设计实验指导书

现代数字系统设计实验指导书

FPGA应用与开发实验指导书目录实验一:Quartus软件操作 (4)1.Quartus II 的文本编辑输入法 (4)2.Quartus II 的图形编辑输入法 (6)实验二:简单的组合逻辑电路设计 (9)1.四舍五入判别电路............................................................ 错误!未定义书签。

2.控灯电路............................................................................ 错误!未定义书签。

3.优先权排队电路................................................................ 错误!未定义书签。

实验三:显示译码电路.............................................................. 错误!未定义书签。

1.数字循环显示.................................................................... 错误!未定义书签。

2.字母循环显示电路............................................................ 错误!未定义书签。

实验四:BCD码加法电路........................................................... 错误!未定义书签。

1.二进制码到BCD码的转换 ............................................. 错误!未定义书签。

2.1位BCD加法器 .............................................................. 错误!未定义书签。

《数字系统设计》实验指导书及综合作业

《数字系统设计》实验指导书及综合作业

《数字系统设计》实验报告班级_______电信2班_________________ 姓名____潘俊俊_____________________ 学号___1309121091__________________实验1 组合电路的设计实验目的:熟悉ISE的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

实验内容:首先利用ISE完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形。

实验程序:ENTITY mux21a ISPORT (a, b, s: IN BIT;Y: OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a, b, s)BEGINIF s='0' THEN y<=a; ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;实验结果:1、综合电路图2、 仿真时序图实验分析:在0—275ns 内,s=0, 则输出a 波形;在275—685ns 内,s=1,则输出b 波形;在685ns 以后,s=0, 则输出a 波形;仿真结果与实验要求一致,故仿真结果正确。

实验2 时序电路的设计实验目的:熟悉ISE的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。

实验任务:设计一个带使能输入及同步清0的增1/减1的3位计数器,并仿真。

实验程序:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity up_down isPort(clk,rst,en,up: in std_logic;Sum: out std_logic_vector(2 downto 0);Cout: out std_logic);End;Architecture a of up_down isSignal count: std_logic_vector(2 downto 0);BeginProcess(clk,rst)BeginIf rst=’0’ thenCount<=(others=>’0’);Elsif rising_edge(clk) thenIf en=’1’ thenCase up isWhen ‘1’ => count<=count+1;When others =>count<=count-1;End case;End if;End if;End process;Sum<=count;Cout <=’1’ when en=’1’ and ((up=’1’ and count=7) or (up=’0’ and count=0)) else ‘0’;End;实验结果:1、综合电路图2、仿真时序图实验分析:当rst=’0’ ,输出被清零;否则(rst=1,en=1)当up=1时,输出count<=count+1,若up=0,输出count<=count-1。

【免费下载】数字系统综合训练课程实训任务书

【免费下载】数字系统综合训练课程实训任务书

《数字系统综合训练》课程实训任务指导书课程代号:总学时(或周数):3周适用专业:计算机科学与技术专业嵌入式方向先修课程:数字电路与逻辑设计、单片机原理及应用,EDA原理及应用一、课程设计(实践)题目A类:1. 基于单片机的计数显示与基于CPLD的单片机看门狗设计功能要求:用单片机实现加减计数器及秒表,用CPLD实现单片机的看门狗定时器电路。

(1)加1减1计数器采用十进制BCD码。

(2)数码管采用动态显示方式。

(3)按键需进行软件消抖。

(4)利用按键接外部中断使程序进入死循环,来模拟单片机程序跑飞。

(5)单片机程序中适当位置插入发送喂狗脉冲指令。

(6)用CPLD实现硬件看门狗定时器,默认64ms未收到喂狗脉冲则发送单片机复位高电平。

(7)看门狗定时器定时初值可由用户设置。

2.单片机与CPLD串口通信设计功能要求:实现CPLD的UART口设计,并与单片机进行串口通信。

(1)单片机串口通信采用方式3,第九位数据位为奇偶校验位。

(2)实现CPLD的UART接口模块,主要由波特率发生器、UART 发送器、UART接收器组成。

(3)双方要做奇偶校验处理。

(4)CPLD的UART接口波特率可用按键设置。

(5)按键控制单片机向CPLD发送八位数码管阳码,CPLD进行接受并送显示,并由CPLD向单片机发送信号控制单片机点亮LED灯,从而演示通信情况。

(6)CPLD采用此波特率的4倍频率进行采样并判断。

采样的原则,进行4次采样,如果中间两位是一致的,就认定此值为正确的可以接收;否则丢弃,重新采样。

3. 数字频率计设计功能要求:用CPLD实现一个数字频率计检测输入周期信号的频率,用单片机产生待测方波信号。

(1)频率计测量范围是1hz—1mhz,结果用4个数码管显示。

(2)数码管采用动态显示方式(3)量程分为4档,分别是:1—999hz、1.000—9.999khz、10.00—99.99khz、100.0—999.9khz。

系统综合实训的实验报告

系统综合实训的实验报告

一、实验名称系统综合实训二、实验目的1. 熟悉系统综合实训的基本流程和方法。

2. 提高实际操作能力,掌握系统综合配置、调试和维护的基本技能。

3. 培养团队合作精神和沟通协调能力。

三、实验时间2023年X月X日四、实验地点计算机实验室五、实验器材1. 计算机一台2. 操作系统安装光盘3. 系统综合实训教材4. 网络设备(交换机、路由器等)5. 网线若干六、实验内容1. 系统安装与配置2. 网络配置与调试3. 系统安全设置4. 系统维护与优化七、实验步骤1. 系统安装与配置(1)打开计算机,插入操作系统安装光盘,启动计算机。

(2)按照提示操作,选择安装操作系统。

(3)完成操作系统安装后,对系统进行配置,如设置用户账户、安装常用软件等。

2. 网络配置与调试(1)连接网络设备,将网线插入交换机或路由器。

(2)在计算机上设置IP地址、子网掩码、默认网关等信息。

(3)检查网络连通性,使用ping命令测试与外部网络的连接。

(4)调试网络,解决网络故障。

3. 系统安全设置(1)设置系统管理员密码,提高系统安全性。

(2)关闭不必要的系统服务,减少安全风险。

(3)安装杀毒软件,定期进行病毒查杀。

(4)开启防火墙,防止恶意攻击。

4. 系统维护与优化(1)清理磁盘碎片,提高磁盘读写速度。

(2)优化系统设置,如调整视觉效果、提高系统性能等。

(3)备份重要数据,防止数据丢失。

(4)定期更新操作系统和软件,修复已知漏洞。

八、实验结果与分析1. 系统安装与配置实验成功安装操作系统,并对系统进行了基本配置,如设置用户账户、安装常用软件等。

2. 网络配置与调试实验成功配置网络,使用ping命令测试与外部网络的连接,网络连通性良好。

3. 系统安全设置实验成功设置了系统管理员密码,关闭了不必要的系统服务,安装了杀毒软件,开启了防火墙。

4. 系统维护与优化实验成功清理了磁盘碎片,优化了系统设置,备份了重要数据,定期更新操作系统和软件。

九、实验心得体会通过本次系统综合实训,我深刻认识到实际操作能力的重要性。

数字系统综合设计实验报告

数字系统综合设计实验报告

实验十二 数字系统综合设计一. 实验目的1.进一步提高独立分析问题和解决问题的能力。

2.掌握数字系统的分析和设计方法。

3.对数字集成电路的综合应用有进一步的认识和理解。

二. 设计题目:制作一个简易的电子秒表功能要求:(1) 具有两位数码显示。

分别显示1/10秒和秒计数。

(2) 有两个按键分别控制启动(开始计时)/停止和清零。

功能表如下:三、概述:要完成题目要求的电子秒表功能,系统应具有如下几部分电路:1、 定时电路;题目要求最小计时单位为1/10秒,即100ms 。

这部分电路必须能准确的产生周期为100ms (频率为10Hz )的时钟信号。

2、 计时电路:题目要求系统具有两位显示器,分别显示秒和1/10秒信号。

所以本系统应具有两个十进制计数器,分别对定时信号进行计数,以产生1/10秒和秒计数。

系统计数范围从0.0~9.9秒。

3、 显示译码驱动电路:将计数器的计数结果(BCD 码)通过译码器译成七段显示码并驱动LED 数码管显示出来。

4、 控制电路:根据题目要求,本电子秒表应具有两个按键。

其中一个控制秒表的启/停,本按键应有自锁功能,按一次启动计时,再按一次停止计时。

另一个按键控制清“0”,本按键不需自锁,按下时系统清“0”;放开时系统回复正常计时功能。

系统电路结构框图如图1所示。

图1 系统结构框图四、电路设计方案:1、定时电路:系统的定时电路要求产生周期为100ms的时钟信号。

根据我们学过的知识,此电路可由下述几种方案实现:方案1:用555定时器构成多谐振荡器。

定时器是电子秒表的核心,其作用是产生一个标准频率的脉冲信号。

振荡频率的精度和稳定度决定了秒表的质量。

图2采用集成电路555定时器与RC组成的多谐振荡器。

输出的脉冲频率为f S=1/[(R1+2R2)C1ln2],周期T=0.69(R1+2R2)C1。

若参数选择:R1= K 、R2= K欧姆,C1=4.7 uF时,可以得到100毫秒脉冲信号。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《数字系统综合训练》课程实训任务指导书课程代号:总学时(或周数):3周适用专业:计算机科学与技术专业嵌入式方向先修课程:数字电路与逻辑设计、单片机原理及应用,EDA原理及应用一、课程设计(实践)题目A类:1. 基于单片机的计数显示与基于CPLD的单片机看门狗设计功能要求:用单片机实现加减计数器及秒表,用CPLD实现单片机的看门狗定时器电路。

(1)加1减1计数器采用十进制BCD码。

(2)数码管采用动态显示方式。

(3)按键需进行软件消抖。

(4)利用按键接外部中断使程序进入死循环,来模拟单片机程序跑飞。

(5)单片机程序中适当位置插入发送喂狗脉冲指令。

(6)用CPLD实现硬件看门狗定时器,默认64ms未收到喂狗脉冲则发送单片机复位高电平。

(7)看门狗定时器定时初值可由用户设置。

2.单片机与CPLD串口通信设计功能要求:实现CPLD的UART口设计,并与单片机进行串口通信。

(1)单片机串口通信采用方式3,第九位数据位为奇偶校验位。

(2)实现CPLD的UART接口模块,主要由波特率发生器、UART发送器、UART接收器组成。

(3)双方要做奇偶校验处理。

(4)CPLD的UART接口波特率可用按键设置。

(5)按键控制单片机向CPLD发送八位数码管阳码,CPLD进行接受并送显示,并由CPLD向单片机发送信号控制单片机点亮LED灯,从而演示通信情况。

(6)CPLD采用此波特率的4倍频率进行采样并判断。

采样的原则,进行4次采样,如果中间两位是一致的,就认定此值为正确的可以接收;否则丢弃,重新采样。

3. 数字频率计设计功能要求:用CPLD实现一个数字频率计检测输入周期信号的频率,用单片机产生待测方波信号。

(1)频率计测量范围是1hz—1mhz,结果用4个数码管显示。

(2)数码管采用动态显示方式(3)量程分为4档,分别是:1—999hz、1.000—9.999khz、10.00—99.99khz、100.0—999.9khz。

当频率超过量程时,4个数码管全灭。

(4)测量时,读数不随计数变化。

(5)小数点自动移位。

(6)超量程时,4个数码管全灭。

(7)按键控制单片机发送不同频率方波给频率计。

4. 其它类似以上A类难度且经指导老师同意的自选题目B类:1. 交通灯控制器设计功能要求:设计并实现一个交通灯控制系统。

效果如图(1)带左转和直行两种通行绿灯,用实验仪上八个个LED灯模拟。

(2)数码管显示倒计时时间。

(3)带紧急按键功能,紧急状态时所有方向均亮红灯,显示数字闪烁。

当特殊运行状态结束后,系统恢复中断时的状态,继续正常运行。

(4)夜间运行模式按键,按下时所有方向黄灯闪烁。

(5)按键消抖处理。

(6)两个方向各种信号灯的亮、灭时间能进行设置和修改,使控制器既可以用于两方向通行时间相同的普通交叉路口,也可通过参数的设置或修改用于通行时间不同的主辅路口。

2.出租车计价器设计功能要求:设计一个出租车计价器(1)用4位数码管显示里程数(单位公里),最后一位小数位。

用4位数码管显示金额数(单位元),最后一位小数位。

实验仪上只有4个数码管,故需由按键控制分别显示。

(2)单程价格2元/公里,往返1.5元/公里,由“单程”“往返”键控制。

(3)用CPLD发送脉冲信号,模拟传感器检测车轮转动所发脉冲信号。

(4)起步价8元,起步里程3公里。

(5)按“暂停”键,计价器暂停计价,显示当前值。

(6)“清除”键数据清零。

3.调制解调器设计功能要求:2ASK和2FSK调制解调器设计(1)用单片机实现调制器。

(2)用CPLD实现解调器。

(3)将一个8位2进制序列经调制后发送到CPLD,经解调后得到的序列用实验仪上的8个LED灯显示出来,灯亮则对应位为‘1’,灯灭则对应位为‘0’(4)由按键控制选择ASK或FSK。

(5)码元率300。

4. 其它类似以上B类难度且经指导老师同意的自选题目C类:1.竞赛抢答器设计功能要求:实现一个知识竞赛抢答器。

(1)主持人对参赛各组提出的问题,分为必答题和抢答题两种。

具有4路抢答输入(2)答题有时间限制,若在规定时间内未能回答完问题,则发出超时警告。

(3)对抢答题,要准确判断哪组优先,能够识别最先抢答的信号,显示该台号,由LED灯予以指示。

(4)回答问题的正确与否,由主持人判别并进行加分或减分,成绩评定结果通过数码管显示。

(5)进行抢答时,主持人按键,系统进入抢答状态。

若超时无人抢答则扬声器报警。

(6)如参赛者在规定时间内完成回答问题,主持人可以给出倒计时计数禁止信号,以免扬声器鸣叫。

2. 函数信号发生器设计功能要求:设计一个基于单片机或CPLD的函数信号发生器。

(1)能够产生正弦波、三角波和方波。

(2)由按键控制三种波形的选择。

(3)能按键控制在10kHz到100kHz范围内以10kHz的步进调整(4)按键需进行软件消抖。

(5)数码管显示输出信号频率。

3. 数字钟设计功能要求:用CPLD或单片机实现一个数字钟。

(1)计时功能采用24小时方式,显示小时、分钟和秒。

(2)采用双键校时,mode和set,前者选择时钟模式,包括计时、秒校时、分钟校时和小时校时,后者作为校时脉冲。

(3)数码管采用动态显示方式。

(4)按键需进行消抖。

4. 数字密码锁功能要求:设计实现一个简易数字密码锁(1)能实现密码锁重新设置密码。

(2)输入密码前要按“输入”键,输入密码后要按“确认”键,密码正确则锁能打开,用实验仪上的LED灯模拟。

(3)在输入密码的时候,可随时清除已输入的,并重新输入。

(4)连续输入三次错误后,开启警报,用蜂鸣器实现。

(5)可随时切换到手动钥匙开启状态,即无论在什么情况下只要输入状态切换密码,密码锁就可以切换到钥匙开锁模式,此时需要插入钥匙才能开锁(用按键模拟钥匙的插入)。

5. CRC编码器设计功能要求:设计实现CRC编码器(1)8位信息位8位校验位。

(2)用实验仪上的8个按键输入信息位并存储。

(3)经编码器生成校验码之后,由实验仪上的LED灯显示出来,灯亮代表相应位为“1”,否则为“0”。

(4)分别用单片机和CPLD实现设计。

(5)根据输入和显示验证编码器的功能是否正确。

6. 汽车转向灯控制器功能要求:设计实现一个基于单片机或CPLD的汽车转向灯控制器(1)在左转弯或右转弯时,通过操作杆使左转开关或右转开关合上,从而使左(或右)头灯、仪表板左(或右)转弯灯、左(或右)尾灯闪烁。

用按键模拟。

(2)合紧急开关时要求上述6个信号灯全部闪烁。

(3)刹车时两个尾灯点亮。

(4)如正当急转弯时刹车,则转弯时原应闪烁的信号灯仍应闪烁。

(5)以上闪烁为频率1Hz的低频闪烁。

(6)停靠时左右头灯、左右尾灯按30Hz频率高频闪烁。

7. 其它类似以上C类难度且经指导老师同意的自选题目二、课程设计(实践)步骤与进度安排工程实训按照教学要求需要三周时间完成,一周中每天(按每周5天)至少要有6学时在实验室进行系统设计与开发工作,多个教学内容在时间上有所穿插,因此,将课程时间安排如下:三、设计(实践)成果要求设计结束后要写出课程设计报告,以作为整个课程设计评分的书面依据和存档材料。

设计报告以规定格式的电子文档书写、打印并装订,排版及图、表要清楚、工整。

内容及要求如下:封面:题目、班级、姓名、学号、指导教师和完成日期。

正文包括以下7个内容:1.需求分析2.概要设计3.详细设计4.调试分析5.用户使用说明6.测试结果7.参考文献四、使用教材与参考资料1、《新编MCS-51单片机应用设计》,张毅刚等编著,哈尔滨工业大学出版社。

2、《EDA技术实用教程》,潘松等编著,科学出版社。

五、考核方式与成绩评定标准实训分组进行,每组约5人合作。

实训结束时,要求每组提交设计任务书打印件和电子文档各一份,提交设计报告(含需求、概要设计、详细设计(软硬件详细设计))打印件和电子文档各一份(注明每人完成的内容和分工,不少于15页)、可运行的软件系统(包括源程序)电子文档一份。

最后成绩有以下几个方面组成:系统运行情况(40分),系统的结构合理与否(10分),说明文档的清晰程度(20分),程序编码的规范程度(10分),设计报告的完整程度(20分)(课程报告雷同者总成绩以0分计算)。

⏹按照优、良、中、及格,不及格五级给予成绩。

(1)优:A类题目完成规定功能,文档规范,回答问题清楚可得优。

(2)良:B类题目完成规定功能,文档规范,回答问题清楚可得良。

(3)中:C类题目最高可得中。

A、B类题目有部分功能不能正确实现,但总体没有错误,报告完整可得中。

(4)及格:有部分功能不能正确实现,有少许错误,报告基本完整。

(5)不及格:大部分功能不能正确实现。

以上同时要结合考勤做适当调整。

六、本指导书编写参照系、编写根据、编制人参照清华大学、福州大学等多所高校相关大纲。

编制人:刘诗笺。

七、注意事项●A类的最高等级可为优,B类题目的最高等级为良,C类题目最高等级为中。

●课程设计报告封面和任务书的样板见后面“附1”和“附2”。

附1:闽江学院实训设计说明书题目:院系:专业班级:学号:学生姓名:指导教师:年月日附2:闽江学院实训任务书计算机科学院系嵌入式教研室年月日实训计划任务安排一览表(按学期)-——学年第学期制表人:系部负责人:日期:。

相关文档
最新文档