数电课程设计数字电子钟说明书
数字电子钟设计说明..
数字电子钟课程设计一、设计任务与要求(1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59;(2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电路能实现校正(5)整点报时二、单元电路设计与参数计算1. 振荡器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。
它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。
这用压电谐振的频率即为晶体振荡器的固有频率。
2. 分频器由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。
3. 计数器秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。
“秒” “分”计数器为六十进制,小时为二十四进制。
(1)六十进制计数由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。
本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。
(2)二十四进制计数“24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。
与生 活中计数规律相同。
二十四进制计数同样选用74LS161和74LS160计数芯片。
但 清零方式采用的是异步清零方式。
MMgM加EHagij qZ1进位信号脉冲4 •译码器译码是指把给定的代码进行翻译的过程。
计数器采用的码制不同,译码电路也不同。
74LS48驱动器是与8421BCD编码计数器配合用的七段译码驱动器。
74LS48配有灯测试LT、动态灭灯输入RBI,灭灯输入/动态灭灯输出BI/RBO,当LT=O时,74LS48出去全1。
电子行业数字电子钟设计说明书
电子行业数字电子钟设计说明书1. 引言本文档旨在提供对数字电子钟的设计说明,为电子行业相关从业人员提供详细的设计方案和操作指南。
2. 设计目标数字电子钟的设计目标是提供准确、可靠且易于使用的时间显示功能。
具体需求如下:•数字显示:要求使用7段数码管显示小时和分钟。
•时间设置:用户能够通过按钮设置当前时间。
•时钟功能:能够准确地显示当前时间,并根据实时时钟模块同步时间。
•日期功能:可选功能,能够显示当前日期。
3. 硬件设计3.1 时钟模块选择在数字电子钟中,时钟模块是关键组件之一,它负责获取和维护时间信息。
常用的时钟模块有DS1302和DS3231等,我们可以根据实际需求选择适合的模块。
3.2 数码管显示数字电子钟需要使用7段数码管进行时间的显示。
这里可以选择常用的共阴极数码管或共阳极数码管,根据实际需求选择合适的型号和数量。
3.3 按钮输入为了方便用户设置时间,我们需要使用按钮来接收用户的输入。
通常使用矩阵按键或者触摸开关作为输入设备,以提供更好的用户体验。
3.4 控制电路数字电子钟的控制电路主要负责控制数码管显示、时钟模块的读取和按钮输入的响应。
可以选择单片机或者专用集成电路来实现控制功能。
4. 软件设计4.1 主控程序结构数字电子钟的软件设计主要包括主控程序的编写和时钟模块的驱动程序。
主控程序的结构如下:int mn(){// 初始化时钟模块InitClock();// 初始化按钮输入InitButton();while(1){// 读取当前时间ReadTime();// 检测按钮输入,根据用户的设置对时间进行调整CheckButton();// 更新数码管显示UpdateDisplay();}}4.2 时钟模块驱动程序时钟模块驱动程序负责与时钟模块进行通信,读取和更新时间信息。
根据所选择的时钟模块,编写相应的驱动程序,确保正确读取和设置时间。
4.3 按钮输入处理按钮输入处理程序负责检测按钮输入,并根据用户的操作进行相应的时间调整。
数字电路课程设计电子数字钟+闹铃
数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。
2、具有手动校时、校分、校秒的功能。
3、有定时和闹钟功能,能够在设定的时间发出闹铃声。
4、能进行整点报时。
从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。
、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。
2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。
3、有三个按钮分别调时、分、秒的时间。
4、有一个按钮用作开启/关闭闹铃。
5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。
二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。
各按键输出为脉冲信号。
、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。
该模块能将当前计数值实时按BCD码的格式输出。
将该输出接到两位LED数码后能时时显示秒的状态。
通过alarm_clk可以选择设置对象为时间还是定时值。
在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。
数电课设-数字钟
数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数字电子钟课程设计说明书样本
数字电子钟[摘要]:随着当代电子技术奔腾发展,各类智能化产品相应而出,为人类生活带来了无比便利。
其中数字电路是智能化产品重要构成某些。
数字电路具备电路简朴、可靠性高、成本低等长处,本设计就以数字电路为核心设计电子钟。
数字钟是一种将“时”,“分”,“秒”显示于人视觉器官计时装置。
它计时周期为24小时,显示满刻度为23时59分59秒,此外应有校时功能。
电路系统由秒信号发生器、时、分、秒计数器,显示屏,校时电路构成。
[核心词]:电子钟、计数、秒脉冲Digital Clock[Abstract]:With the rapid development of modern electronictechnology,the corresponding out all kindsof intelligent products for human life hasbrought tremendous convenience. Digitalcircuit which is an important partof intelligent products.Digital circuit has a simplecircuit,high reliability,low cost,the designof digital electronic circuit design as thecoreclock. A digital clock is the "hour","minute","second" display organ in the human visual timingdevice.Its time period is 24 hours,the fullscale of 23:59:59,while the otherschool should function. By the secondsignal generator circuit system,hours,minutes,seconds counter,display,timing circuit. [Keywords]:Digital Clock;Counter;One second pulse目录1 前言 (1)1.1数字电子钟现状 (1)1.2设计目 (1)2 设计内容与规定 (2)3 电路工作原理及分析 (3)3.1数字钟基本逻辑功能框图 (3)3.2秒信号发生器 (3)3.3时、分、秒计数器电路 (4)3.4校时电路 (4)4 数字电路设计 (5)4.1 计数电路设计 (5)4.2 校时电路设计 (7)5重要芯片技术参数 (8)5.1 74LS90芯片 (8)5.2 74LS290芯片 (8)6 心得体会 (10)参照文献 (11)1前言1.1数字电子钟现状数字电子钟是一种用数字电路技术实现时、分、秒计时装置,与机械式时钟相比具备更高精确性和直观性,且无机械装置,具备更长使用寿命长处,因而得到了更广泛使用,数字电子钟从原理上讲是一种典型数字电路,其中涉及了组合逻辑电路和时序电路1.2设计目本次设计数字电子钟是为了理解数字电子钟原理,掌握数字钟设计办法,熟悉集成电路用法。
数电课程设计电子钟
数电课程设计电子钟一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解电子钟的工作原理。
2. 使学生了解并掌握电子钟各组成部分的功能及相互关系。
3. 培养学生运用数字电路知识分析、设计简单电子系统的能力。
技能目标:1. 培养学生运用所学知识,设计并搭建电子钟的能力。
2. 培养学生运用电子仪器、设备进行测试、调试和故障排查的能力。
3. 培养学生团队协作、沟通表达及解决问题的能力。
情感态度价值观目标:1. 培养学生对电子技术产生兴趣,激发学生学习积极性。
2. 培养学生严谨的科学态度和良好的实验习惯。
3. 培养学生具备创新意识和实践能力,增强学生对我国电子科技发展的自豪感。
课程性质分析:本课程属于电子技术课程,通过设计电子钟,使学生将所学数字电路知识应用于实际项目中,提高学生的实践能力。
学生特点分析:学生具备一定的数字电路基础知识,具有较强的动手能力和探究欲望,对实际应用场景感兴趣。
教学要求:结合学生特点,注重理论与实践相结合,培养学生的动手能力、创新能力和团队协作能力。
通过课程目标分解,实现对学生知识、技能和情感态度价值观的全面提升。
二、教学内容1. 数字电路基础知识回顾:逻辑门、组合逻辑电路、时序逻辑电路等。
2. 电子钟工作原理:振荡器、分频器、计数器、显示电路等。
3. 电子钟各组成部分功能及相互关系:晶振、分频器、秒、分、时计数器、显示驱动等。
4. 电子钟设计流程:需求分析、电路设计、仿真测试、硬件搭建、调试优化等。
5. 教学大纲:(1)第一周:回顾数字电路基础知识,介绍电子钟工作原理及各部分功能。
(2)第二周:分析电子钟各组成部分的相互关系,讲解设计流程。
(3)第三周:分组讨论,确定设计方案,进行电路设计和仿真测试。
(4)第四周:硬件搭建,进行调试和优化,确保电子钟正常工作。
6. 教材章节及内容:(1)第四章:数字电路基础,涉及逻辑门、组合逻辑电路等。
(2)第五章:时序逻辑电路,涉及计数器、寄存器等。
数电课程设计数字钟设计说明
. 目录⒈设计目的1⒉设计任务1设计指标2⒊多功能数字钟设计原理2⒈时钟信号振荡电路3⒉秒脉冲产生信号4⒊计数电路4⑴小时计数电路4⑵分钟计时电路6⑶秒钟计数电路7⑷校时信号7⑸整点报时电路8⑹译码驱动及显示电路10⒋数字钟整体电路的实现11⒋多功能数字钟设计方案二11⑴设计原理图11⑵电路各个组成部分12⑶电路图实现13⒌方案比较以及确定14⒍电路的仿真与调试15⒎元器件明细表17页脚⒏设计心得与体会20⒐参考文献22⒑23⒈设计目的⒈增强对数字电子技术及相关知识的了解与掌握。
⒉学习multisim 10.0 等相关软件的使用方法。
⒊具备简单电路设计的能力。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
此次设计与制作数字电子钟的目的是让学生在了解数字钟的原理的前提下,运用刚刚学过的数电知识设计并制作数字钟,而且通过数字钟的制作进一步了解各种在制作中用到的中小规模集成电路的作用及其使用方法。
由于数字电子钟包括组合逻辑电路和时序电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,从而实现理论与实践相结合。
总的来说,此次课程设计,有助于学生对电子线路知识的整合和电子线路设计能力的训练,并为后继课程的学习打下一定的基础。
⒉设计任务设计一个数字钟的电子电路,使其具有以下功能:①“时”、“分”、“秒”的十进制数字显示功能,其中“时”为24进制,“分”和“秒”都是六十进制;②手动校时功能;③整点报时功能。
设计指标⒈时间计数电路采用24进制,从00开始到23后再回到00;⒉各用2位数码管显示时、分、秒;⒊具有自动校时、校分功能,可以分别对时及分进行单独校时,使其校正到标准时间;⒋计时过程具有报时功能,当时间到达整点时,蜂鸣器开始响,同时灯光隔一秒亮一次的显示;⒌为了保证计时的稳定及准确,须由晶体振荡器提供时间基准信号。
⒊多功能数字钟设计原理数字电子钟电路一般由振荡器、分屏器、计数器、译码器、及显示器组成,其框图如下图1-1所示:从图1-1可以看到,石英振荡器产生的振荡信号送到分频器,经分频后得到1HZ的秒脉冲,秒脉冲再送入计数器进行计数,并把累计的计数结果以“时”、“分”、“秒”的时间格式显示出来。
数字电子钟课程设计
一、教学内容
本节“数字电子钟课程设计”依据《电子技术》教材第九章“数字电路应用”的内容进行设计。主要内容包括:
1.数字电子钟的原理与设计:介绍数字电子钟的基本工作原理,引导学生了解时钟信号的产生、分频电路、计数器、显示电路等组成部分。
2. 555定时器应用:讲解555定时器在数字电子钟中的作用,如如何产生稳定的时钟信号。
21.信息技术应用:教授学生如何利用现代信息技术,如互联网资源、在线仿真工具等,来辅助学习和解决实际问题,提高学生的信息素养。
22.教学反馈收集:在课程结束后,收集学生对课程内容、教学方式、实践环节等方面的反馈,以利于教师不断优化教学方法和提升教学质量。
6.实际制作与测试:引导学生动手制作数字电子钟,并进行功能测试与优化。
2、教学பைடு நூலகம்容
7.电路优化与改进:探讨如何优化电子钟电路设计,包括降低功耗、提高显示清晰度、增强电路稳定性等方面。
8.故障分析与排除:分析数字电子钟可能出现的常见故障,如显示错误、计时不准确等,并教授相应的排查与解决方法。
9.创新设计:鼓励学生对电子钟进行创新设计,如增加闹钟功能、温度显示、定时开关等,提升学生的创新能力和实践能力。
13.成果展示与评价:组织学生进行成果展示,相互评价,培养学生表达能力和批判性思维,同时教师给予总结性评价和反馈。
14.知识拓展:介绍数字电子钟在生活中的应用,以及电子时钟的最新技术发展,激发学生对电子技术领域的兴趣和探索欲。
4、教学内容
15.实践技能培养:通过实际操作,加强学生对电子元器件的识别与使用、焊接技术、电路布局与布线等实践技能的掌握。
10.课程总结:对本章内容进行回顾,强调数字电子钟各部分电路的联系与作用,巩固学生的理论知识,提升实际操作技能。
【通用】数字电子钟说明书.doc
《单片机技术》课程设计说明书数字电子钟院、部:电气与信息工程学院学生姓名:******指导教师:王韧职称副教授专业:通信工程班级:***********完成时间:2013年12月20日湖南工学院课程设计任务书课程:单片机技术课程设计题目:数字电子钟数字频率计数字电压表交通灯抢答器密码锁波形发生器数字温度计计算器数字式秒表适用班级:电子1101~2、通信1102~3通信1101~电子1103 时间: 2013~2014学年第一学期指导教师:王韧《单片机技术》课程设计任务书一、设计题目:数字电子钟、数字频率计、数字电压表、交通灯、抢答器、密码锁、波形发生器、数字温度计、计算器、数字式秒表。
二、适用班级:电子1101~2、通信1102~3、通信1101~电子1103三、指导教师:王韧四、设计目的与任务:学生通过理论设计和实物制作解决相应的实际问题,巩固和运用在《单片机技术》中所学的理论知识和实验技能,掌握单片机应用系统的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。
五、设计内容与要求设计内容1、数字电子钟设计一个具有特定功能的电子钟。
该电子钟上电或按键复位后能自动显示系统提示符“P.”,进入时钟准备状态;第一次按电子钟启动/调整键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟启动/调整键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按启动/调整键再次进入时钟运行状态。
2、数字频率计设计一个能够测量周期性矩形波信号的频率、周期、脉宽、占空比的频率计。
该频率计上电或按键复位后能自动显示系统提示符“P.”,进入测量准备状态。
按频率测量键则测量频率;按周期测量键则测量周期;按脉宽测量键则测量脉宽;按占空比测量键则测量占空比。
3、数字电压表设计一个能够测量直流电压的数字电压表。
测量电压范围0~5V,测量精度小数点后两位。
该电压表上电或按键复位后能自动显示系统提示符“P.”,进入测量准备状态,按测量开始键则开始测量,并将测量值显示在显示器上,按测量结束键则自动返回“P.”状态。
数字电子钟设计说明书样本
1前言《当代电子技术》是一门实用性较强课程。
通过对这门课程学习我掌握了数字逻辑门电路、组合逻辑器件、组合逻辑电路分析与设计、时序逻辑器件、时序逻辑电路分析与设计等有关知识。
Proteus是一种实用数字电路仿真软件。
虽然我并没有系统去学习过这种软件。
但通过每次实验课摸索性使用, 我掌握了Proteus基本操作。
上述知识以及先修课程所学知识为本次《当代电子技术》课程设计奠定了基本。
就在课程设计前几天学院教师给咱们买好了数字电路惯用元器件, 这为设计物理实现提供了条件。
这次我课程设计题目为: 数字电子钟设计。
我所设计数字电子钟功能有: 能显示星期、时、分、秒;能校时;能整点报时总体来讲, 这次设计实现涉及两个过程:设计及Proteus仿真;实物焊接。
设计及Proteus仿真可以说是整个设计最最核心过程, 能否完毕好这个过程直接关系到设计成败。
在设计与Proteus仿真这个过程设是对所学理论知识运用而Proteus仿真是对设计对的与否检查以及完毕对设计优化。
实物焊接是设计物理实现。
需要阐明是因事先不懂得实验室所具备芯片型号在完毕设计与仿真后才懂得自己所用有些芯片实验室没有(实验室有74ls48、74ls90、74LS161和555定期器而我在设计时用是74ls248、74ls390、74LS163和晶振)因而日后我又用实验室具备芯片重新进行了设计并仿真这样使得我做了两份设计虽然两种设计最后所实现功能时相似但因使用芯片不同导致其内部连接也不同。
这里因篇幅限制在正文某些我将仅对后一种设计进行阐述。
在本次课程设计中我顺利完毕了两种办法设计与仿真, 但在实物焊接过程却浮现了一点问题。
详细内容将在正文某些阐述。
数字钟从原理上讲是一种典型数字电路, 其中涉及了组合逻辑电路和时序电路。
本次设计与制作数字电子钟目是让学生在理解数字钟原理前提下, 运用刚刚学过数电知识设计并制作数字钟, 并且通过数字钟制作进一步理解各种在制作中用到中小规模集成电路作用及其用法。
数字电路课程设计数字电子钟
数字电路逻辑设计课程设计学校:学院:专业班级:姓名:学号:同组人:课程设计题目数字电子钟设计要求1. 设计一个具有时、分、秒显示的电子钟(23小时59分59秒)。
2. 该电子钟应具有手动校时、校分得功能。
3. 整点报时。
从59分50秒起,每隔2s发出一次“嘟”的信号。
连续5次,最后1次信号结束即达到正点。
设计方案1. 数字电子钟基本工作原理和整体设计方案数字钟实际上是一个对标准频率进行计数的计数电路。
它的计时周期是24小时,由于计数器的起始时间不可能与标准时间(如北京时间)一致所以采用校准功能和报时功能。
数字电子钟是由石英晶体振荡器、分频器、计数器、译码器、显示器和校时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过时、分、秒译码器显示时间。
秒脉冲是整个系统的时基信号,它直接决定计时系统的精度,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。
时计数器采用24进制计时器,可实现对一天24小时的计时。
译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现报时。
校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
数字电子钟逻辑框图如下:2. 数字电子钟单元电路设计、参数计算和元件芯片选择(1)石英晶体振荡器和分频器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。
它还具有压电效应,在晶体的某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。
数电课程设计电子钟说明书
1 前言随着科学技术的发展和现代生产力的提高,各个行业都在追求精确和效率,而唯有精确的时钟才能反应出各行业技术的准度与精度。
无论什么行业都离不开钟表,而钟表的数字化给人们的生产和生活带来了极大的方便,它几乎取代了传统的机械时钟,使得其准确度更高、实用性更强。
因此时钟的数字化使其功能更加丰富,使用更佳便利。
数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。
利用60进制和24进制递增计数器子电路构成的数字钟系统。
在数字钟电路中由两个60进制同步递增计数器完成秒、分计数,由一个24进制同步递增计数器实现小时计数。
本设计就是运用所学集成电路的工作原理和使用方法,在单元电路的基础上进行小型数字系统设计的一个数字电子时钟,可完成0时00分00秒~23时59分59秒的计时功能,并在控制电路的作用下具有快速调整时间、显示时分秒和整点报时功能。
秒、分、时计数器之间采用同步级联方式。
利用555多谐振荡器产生的秒脉冲,可以通过调节RP对时间进行校准,并可使用K1、K2、K3实现调整时间的功能。
通过74HC161完成计时功能,再通过数码管来实现显示时间功能,最后用74LS00八输入与非门和由555定时器组成的多谐振荡器连接实现时钟整点报时功能。
设计时采用中小规模集成电路实现,主要培养分析问题解决问题的能力,提高设计电路,调试电路的实验技能。
2 方案比较2.1 方案一此方案数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
数电课程设计数字电子钟说明书
数字电子技术电路课程设计题目:数字钟课程设计学院:XXXXX专业:XXXXX班级:XXXX姓名:XXXX学号:XXXXX指导老师:XXXXX一、设计目的数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。
此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。
二、设计要求1.显示时,分,秒,用24小时制2.能够进行校时,可以对数字钟进行调时间3.能够正点报时(用555产生断续音频信号);三、设计方案比较方案一、采用中小规模集成电路实现采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。
方案二:EDA技术实现采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。
但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展方案三、单片机编程实现此方案采用单片机编程来设计和控制。
综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。
四、设计过程和说明1.数字电子钟计时和显示功能的实现(1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。
(图)(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)(3)利用秒钟的置数信号(为低电平),取反后作为分钟各位的使能端(EP和ET)的控制信号,以实现分秒之间的进位功能。
同理可以实现分时之间的进位功能(4)显示功能采用Multisim里面的DCD_HEX显示管进行时分秒的显示。
数字钟数字电子课程设计
数字钟数字电子课程设计一、课程目标知识目标:1. 理解数字钟的基本原理,掌握数字电子技术的基本概念。
2. 学会使用集成电路芯片,了解其功能及在数字钟中的应用。
3. 掌握数字钟各模块(如秒表、时钟、闹钟等)的工作原理及其相互关系。
技能目标:1. 能够运用所学知识设计简单的数字钟电路,具备实际操作能力。
2. 学会使用相关软件(如Multisim、Proteus等)进行电路仿真,提高实践技能。
3. 培养团队协作能力,学会与他人共同分析问题、解决问题。
情感态度价值观目标:1. 培养学生对数字电子技术的兴趣,激发学习热情,提高自主学习能力。
2. 培养学生严谨的科学态度,注重实践与理论相结合,养成良好的学习习惯。
3. 增强学生的环保意识,注重电子废弃物的合理处理,培养社会责任感。
本课程针对高年级学生,在已有电子技术知识的基础上,进一步深化对数字电子技术的理解。
课程性质为实践性、综合性,要求学生具备一定的理论基础和动手能力。
通过本课程的学习,旨在使学生在理论知识和实践技能上得到全面提升,培养具备创新精神和合作意识的高素质技术人才。
二、教学内容1. 数字电子技术基本原理回顾:逻辑门电路、触发器、计数器等。
2. 集成电路芯片介绍:集成电路的分类、功能及其在数字钟中的应用。
- 侧重于时钟芯片、计数器芯片、显示驱动芯片等。
3. 数字钟工作原理及模块设计:- 秒表模块:基于计时器/计数器的秒表设计。
- 时钟模块:时钟信号的产生、时序控制及时间调整。
- 闹钟模块:闹钟功能的设计与实现。
4. 数字钟电路设计与仿真:- 使用Multisim、Proteus等软件进行电路设计、仿真及调试。
- 熟悉电路图绘制、仿真分析及报告撰写。
5. 实际操作与制作:- 采购元器件、焊接组装数字钟电路板。
- 调试电路、测试功能、解决实际问题。
6. 教学内容的安排与进度:- 原理回顾与芯片介绍(2课时)。
- 数字钟模块设计(4课时)。
- 电路设计与仿真(4课时)。
数字电子时钟设计说明
数字电⼦时钟设计说明⼀、设计任务数字电⼦时钟设计⼆、设计要求1、以数字形式显⽰时、分、秒的时间;2、时钟显⽰周期为24⼩时;3、具有校时功能;4、清零、或计时停⽌功能。
5、定时控制,其时间⾃定;6、正点报时功能,触摸报整点时数或⾃动报整点数。
三、元件清单1.七段显⽰器(共阴极) 10个2.门电路(74LS00 74LS04 74LS08 74LS21 74LS32)若⼲3.译码器(74LS48) 10个4.⼗进制计数器(74LS160) 10个5.数值⽐较器(74LS85) 4个6.⽯英晶体 1个7.555定时器 1个8.蜂鸣器、按钮、开关若⼲9.电阻、电容、导线等若⼲四、设计1.主要思路数字钟主要分为秒信号发⽣部分,计数部分,定时部分,校时部分,蜂鸣器部分五个主要部分组成,⽤⽯英晶体振荡构成秒信号发⽣,将信号输⼊计数部分。
计数部分秒计数器,秒,分,时,计数器分别为60进制,60进制,24进制。
计数器输出通过译码器接到显像管,实现时钟的显⽰。
校时部分为按钮开关与门电路的组合,将时钟信号断开,⽤按钮开关输⼊脉冲,调整时间。
定时部分也为计数器,译码器,显像管的结构,不同的是没有时钟输⼊信号,取⽽代之的是按钮开关按钮,通过按钮输⼊脉冲,实现计数功能。
蜂鸣器部分为555定时器与蜂鸣器的结构,在输⼊⾼电平时蜂鸣器会发出声⾳通过⽐较器⽐较定时部分与计时部分的时间,弱时间相同,则有⾼电平输出⾄蜂鸣器部分。
在整点时输出⼀个⾼电平信号,连接到蜂鸣器,实现整点报时功能。
电路原理⽅框图2.信号发⽣部分⽯英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电⼦钟的核⼼,⽤它产⽣标准频率信号,再由分频器分成秒时间脉冲。
图为⽤反相器与⽯英晶体构成的振荡电路⽯英晶体振荡器选⽤32768kHz的⽯英晶体,发⽣的信号不符合始终的要求,但通过分频,可产⽣1Hz的秒信号。
由于32768÷16÷16÷16÷8=1,所以⽤3个16分频和1个8分频便可解决问题⽤4个16进制计数器74LS161组成分频电路,与晶振部分共同组成信号发⽣部分,如下图。
数电电子钟课程设计
数电 电子钟课程设计一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解电子时钟的原理与设计方法。
2. 使学生能够运用所学知识分析电子时钟各模块的功能及相互关系。
3. 培养学生运用数字电路知识解决实际问题的能力。
技能目标:1. 培养学生运用所学知识设计、搭建和调试电子时钟的能力。
2. 培养学生团队协作和沟通表达能力,提高项目实施效率。
3. 培养学生运用计算机辅助设计软件进行电子电路设计与仿真。
情感态度价值观目标:1. 培养学生热爱科学、探索科学的精神,增强对数字电路的兴趣。
2. 培养学生严谨、务实的学习态度,养成良好学习习惯。
3. 培养学生具备创新意识和实践能力,提高对电子工程的认知。
课程性质:本课程为实践性较强的课程,结合理论知识,培养学生的实际操作能力和团队协作能力。
学生特点:学生具备一定的数字电路基础,具有较强的学习兴趣和动手能力。
教学要求:结合课程性质、学生特点,明确以下教学要求:1. 讲授与实验相结合,注重理论与实践相结合。
2. 引导学生主动参与,提高学生动手实践能力。
3. 创设情境,激发学生兴趣,培养学生团队协作能力。
4. 注重过程评价,关注学生个体差异,提高教学质量。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、触发器、计数器等基本概念与功能。
2. 电子时钟原理:介绍电子时钟的组成、工作原理及各模块功能。
3. 电子时钟设计:分析电子时钟各模块电路设计,包括秒脉冲发生器、分频器、计数器、显示译码器等。
4. 电路搭建与调试:指导学生运用所学知识搭建电子时钟电路,并进行调试与优化。
5. 计算机辅助设计:教授学生使用Multisim、Proteus等软件进行电子时钟设计与仿真。
6. 团队协作与项目实施:分组进行项目设计,培养学生团队协作能力和沟通表达能力。
教学内容安排与进度:第一周:回顾数字电路基础知识,介绍电子时钟原理及各模块功能。
第二周:分析电子时钟各模块电路设计,制定项目设计方案。
课程设计数字电子钟
课程设计数字电子钟一、课程目标知识目标:1. 学生能够理解数字电子时钟的原理,掌握基础电子元件的功能及电子时钟的主要部件。
2. 学生能够运用所学知识,分析并描述数字电子时钟的显示方式和工作流程。
3. 学生掌握二进制与十进制之间的转换方法,并能应用于电子时钟的时间设定。
技能目标:4. 学生能够运用所学的电子知识,设计简单的数字电子时钟电路,并进行组装和调试。
5. 学生能够通过小组合作,运用问题解决策略,克服在电子时钟制作过程中遇到的技术难题。
6. 学生能够运用信息技术工具,如面包板、电子元件等,进行实践操作,提高动手能力。
情感态度价值观目标:7. 学生通过制作数字电子时钟,培养对电子科学的兴趣和好奇心,增强对科技创新的热情。
8. 学生在小组合作中学会沟通与协作,培养团队精神和责任感。
9. 学生通过探索与实践,培养勇于尝试、面对挑战的积极态度,增强自我效能感。
课程性质:本课程为实践操作性强的学科项目,结合电子科学与技术知识,旨在提升学生的动手实践能力及跨学科综合运用能力。
学生特点:考虑到学生处于初中高年级阶段,具备一定的物理知识和数学基础,好奇心强,喜欢探索和动手实践。
教学要求:教师需引导学生主动探索,鼓励学生动手实践,通过项目驱动的教学方式,让学生在“做中学”,提高解决问题的能力。
同时,注重培养学生的团队合作意识和科学态度。
通过具体的学习成果的达成,评估学生对知识的掌握及技能、情感态度价值观的培育情况。
二、教学内容1. 数字电子时钟原理:电子时钟基本工作原理,时分秒显示方式,基础电子元件介绍(如LED灯、晶体管、集成电路等)。
2. 二进制与十进制转换:二进制计数方法,二进制与十进制之间的转换技巧,应用于电子时钟时间设定。
3. 电路设计基础:电路图识别,电子元件连接方式,电路调试与故障排查。
4. 数字电子时钟制作:电子元件选择,电路搭建,程序编写,时钟显示调整。
5. 小组合作与问题解决:分组进行项目实践,共同探讨解决制作过程中遇到的技术问题。
数电课设-数字式闹钟
课程设计任务书数字式闹钟第一部分设计任务1.1设计任务(1) 时钟功能:具有24小时或12小时的计时方式,显示时、分、秒。
(2) 具有快速校准时、分、秒的功能。
(3) 能设定起闹时刻,响闹时间为1分钟,超过1分钟自动停;具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。
1.2设计指标(1).有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。
时十位显示时个位显示分十位显示(2). 计时以24小时为周期。
(23:59→00:00)(3).具有较时电路,可进行分、时较对。
(4).走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃,闹铃响时约3s。
第二部分设计方案2.1总体设计方案说明系统组成:显示电路:译码器数码管秒信号发生器:由LM555构成多谐振荡器走时电路:计数器和与非门组成校时电路:秒信号调节闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成2.2模块结构与方框图1.秒钟与分钟显示电路用两片74290组成60进制计数器,输入计数脉冲CP加在CLKA’端,把QA与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数,个位接成十进制形式,十位接成六进制形式,当R0(1)=RO(2)=1且R9(1)*R9(2)=0时74290的输出被直接置0,当R0(1)*RO(2)=0和R9(1)*R9(2)=0时开始计数。
电路图如下:连接成总电路时,分钟的输入信号由秒钟计数器提供。
2.时钟显示电路:同样用2片74290组成24进制,当十位的为2,个位的为4时通过反馈电端,控制个位和十位同时清零,这样就可以按23翻0规律记数了。
电路图如下:连接成总电路时,时钟输入信号由分钟计数器提供。
3.调时分秒可接几个开关来控制个位,十位的信号输入,如开关1、2、space。
如图示:4.闹钟分设置与上面相差一个输入信号,如下图:时设置的个位为十进制,十位为三进制,当十位为2时,通过反馈控制端,个位不能大于等于4,即小时十位为2时,个位加到4时十位和个位马上全部置0,从而让小时的设置只能最大设为23。
数字电子钟课程设计说明书
目录一.摘要二.设计目的和意义课程设计方案1.设计内容2.设计任务3.设计要求4.设计目的三.电路工作原理1.结构框图及说明2.系统原理图及工作说明3.单元工作原理四.软件仿真设计1.仿真设计2.仿真过程3.分析仿真4.仿真结果五.总结1.缺点2.改进3.结论六.致谢参考文献一:摘要:数字电路具有精度高、稳定性好、抗干扰能力强、程序软件控制等一系列优点。
随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出。
为了充分发挥数字电路在信号处理上的强大功能,我们可以先将模拟信号按比例转换成数字信号,然后送到数字电路进行处理,最后再将处理结果根据需要转换为相应的模拟信号输出。
本次课程设计的主题是数字电子钟。
干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用protues软件来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
关键词:数字电子钟;设计;时分秒;十进制。
二.设计目的和意义(1)让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;(2)进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;(3)初步掌握使用protues(电子设计自动化)工具设计数字逻辑电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程;(4)经过查资料、选方案、设计电路、撰写设计报告、使学生得到一次较全面的工程实践训练,通过理论联系实际,提高和培养创新能力(5)电子钟亦称数显钟(数字显示钟),是一种用数字电路技术实现时、分、秒计时的装置,数字电子钟是用数字集成电路做成的现代计时器,与传统的机械钟相比,它具有走时准确(用高稳定度石英晶体振荡器作时钟源)、显示直观(用液晶或荧光七段数码管显示器)。
数电课设电子钟说明书
调试顺序为:秒模块,分模块,小时模块,校时模块,报时电路模块,利用实验箱逐个进行调试。每个模块进行调试都确认无误后,即可把每个模块连接好,组成一个完整的数字电子钟。
根据Multisim软件对电路仿真后得出的结论是没有问题的,在一般情况下接线后不会有问题,但是前提是调试时接线不能接错。
分和秒的实验电路如下图:
24进制计数器也是由两片74LS160组成的,当各位计数状态为Q3Q2Q1Q0=0100,十位计数状态为Q3Q3Q1Q0=0010时,计数器归零。通过把个位Q2、十位Q1接入与非门,然后接入个位、十位的MR端。令计数器清零,从而实现二十四进制计数器的功能。其连线图如下所示:
本实验采用7448七段译码器。其中A,B,C,D—BCD码输入端;Qa,Qb,Qc,Qd,Qe,Qf,Qg—译码输出端,输出“0”有效,用来驱动共阴极LED数码管;LT—测试输入端,LT=“0”时,译码输出全为“1”;BI(RBO)—灭灯输入端;。RBI是灭零输入端。其引脚图如下:
译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应的数字。其电路图如下所示:
校时电路是数字中不可缺少的部分,当数字显示与实际时间不符时,就要根据标准时间进行校时。在秒十位与分个位之间接入与门和单刀双掷开关,其简单电路如下所示:
功能实现的是从早上9点到晚上8点的时间内整点报时,从59分51秒开始报时,51,53,55,57秒是低音,59秒是高音,低音鸣蜂器是500HZ,高音是1KHZ。具体实验电路如下:
时钟信号源是时钟类项目的心脏,他的精确度直接影响到整个项目的性能。要产生1Hz脉冲可用石英晶体振荡器和555多谐振荡器。555多谐振荡器的优点是起振容易,振荡周期调节范围广,缺点是频率稳定性差,精度低,所以在本试验中不宜使用。石英晶体振荡器不仅选频特性极好,而且谐振频率十分稳定,其稳定度可达10-10~10-11。因此在本实验中我选择石英晶体振荡器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电子技术电路课程设计题目:数字钟课程设计
学院:XXXXX
专业:XXXXX
班级:XXXX
姓名:XXXX
学号:XXXXX
指导老师:XXXXX
一、设计目的
数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。
此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。
二、设计要求
1.显示时,分,秒,用24小时制
2.能够进行校时,可以对数字钟进行调时间
3.能够正点报时(用555产生断续音频信号);
三、设计方案比较
方案一、采用中小规模集成电路实现
采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。
方案二:EDA技术实现
采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。
但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展
方案三、单片机编程实现
此方案采用单片机编程来设计和控制。
综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。
四、设计过程和说明
1.数字电子钟计时和显示功能的实现
(1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。
(图)
(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)
(3)利用秒钟的置数信号(为低电平),取反后作为分钟各位的使能端(EP和ET)的控制信号,以实现分秒之间的进位功能。
同理可以实现分时之间的进位功能
(4)显示功能采用Multisim里面的DCD_HEX显示管进行时分秒的显示。
将DCD_HEX 显示管的四个针脚对应接到74LS160N的四个输出端
2.校对功能的实现
在设计电路图中的J1和J2开关。
(1)J1实现时钟的小时的调节,当鼠标按下开关,时钟的小时位的两片74LS160N成为独立的24进制的计数器,而不会受到秒钟和分钟的进位及置数的影响。
鼠标按下J1开关,时钟的小时位中的个位的使能控制端(EP和ET)都接高电平(Vcc),成为独立的24进制计数器,在0到23,循环显示,当计数到了想要调的小时数,松开J1开关即可将小时位置到预想的小时数。
(图)
(2)J2 实现时钟的分钟的调节,当鼠标按下开关,时钟的分钟位的两片74LS160N成为独立的60进制的计数器,而不会受到秒钟的进位及置数的影响。
鼠标按下J2开关,时钟的分钟位中的个位的使能控制端(EP和ET)都接高电平(Vcc),成为独立的60进制计数器,在0到60,循环显示,当计数到了想要调的小时数,松开J2开关即可将分钟位置到预想的分钟数。
(图)
3.整点报时功能的实现(用灯亮表示到整点)
(1)整点报时的功能电路的设计
用两个555时基电路,一个接成单稳态电路,另一个接成多谐振荡电路,如下图
·
其中开关用来产生触发脉冲。
原理:当开关断开时,单稳态电路的555的输入脚2处于高电平,则单稳态电路的555的输出脚3是低电平,则使第二片555的4脚低电平,即多谐振荡电路不工作,灯不亮。
当开关瞬间闭合然后断开,产生一个脉冲,单稳态电路输出一个时宽为1.1R(2)C(2)高电平,此时多谐振荡555的4脚高电平,电路导通,产生多谐振荡,使得灯亮。
当单稳态555产生的高电平消失,变为低电平时,灯灭。
此处将单稳态电路中R(2)=100K C(2)=1uf 则会产生高电平持续的时间约为0.11S。
多谐振荡电路中周期T=[R(4)+2R(3)]C * Ln2 当多谐振荡的周期越快,灯闪的越快,则看到的现象是灯几乎一直亮着。
所以此处选取的R (3)=R(4)=1k, C=1uf .要是想要灯闪的快些可以减少多谐振荡电路里面的电阻和电容。
下图为仿真的波形图
图中的红色小块是瞬间闭合和断开开关后产生的多谐振荡(此时灯闪烁)
则可以知道灯闪烁的时间为单稳态电路产生的高电平的持续时间0.11s
(2)报时电路的使用
只要讲上面报时电路产生触发脉冲的开关换成是秒钟和分钟都为59时,产生的低电平即可,如图
五、综合电路
其中计数器的脉冲周期可以调节成合适的周期,比如换成秒脉冲。
图中是200HZ是因为为了调试的时候显示的速度快。
从左到右分别为秒,分,时的显示。
六、设计总结和体会
此次的电子钟的设计,虽然不算复杂,但也耗费本人很多的精力。
开始接触题目,查找相关资料,请教老师和同学,到亲手设计制作,连接电路,都花费我许多的精力,也很感谢老师的耐心解答和指导,感谢同学的帮助。
在整个设计过程中,自己对数电理论和实际的应用相结合又有了更深刻的理解,对知识的应用有了更深的认识,对自己独立思考,解决问题的能力有一定的提升。
整个过程耗费不少时间和精力,但这些都是值得的。
此次的设计结果和方案,由于个人水平的限制,都还存在缺点,方案不一定是最优的,连接的线路不一定是最简单的,线路不够简洁,整齐等等。
有许多需要提高的地方,希望大家提出指导,也感谢在设计过程中给予我支持和帮助的老师同学。