实验四 组合逻辑电路
实验报告组合逻辑电(3篇)
第1篇一、实验目的1. 理解组合逻辑电路的基本概念和组成原理;2. 掌握组合逻辑电路的设计方法;3. 学会使用逻辑门电路实现组合逻辑电路;4. 培养动手能力和分析问题、解决问题的能力。
二、实验原理组合逻辑电路是一种在任意时刻,其输出仅与该时刻的输入有关的逻辑电路。
其基本组成单元是逻辑门,包括与门、或门、非门、异或门等。
通过这些逻辑门可以实现各种组合逻辑功能。
三、实验器材1. 74LS00芯片(四路2输入与非门);2. 74LS20芯片(四路2输入或门);3. 74LS86芯片(四路2输入异或门);4. 74LS32芯片(四路2输入或非门);5. 逻辑电平转换器;6. 电源;7. 连接线;8. 实验板。
四、实验步骤1. 设计组合逻辑电路根据实验要求,设计一个组合逻辑电路,例如:设计一个3位奇偶校验电路。
2. 画出逻辑电路图根据设计要求,画出组合逻辑电路的逻辑图,并标注各个逻辑门的输入输出端口。
3. 搭建实验电路根据逻辑电路图,搭建实验电路。
将各个逻辑门按照电路图连接,并确保连接正确。
4. 测试电路功能使用逻辑电平转换器产生不同的输入信号,观察输出信号是否符合预期。
五、实验数据及分析1. 设计的3位奇偶校验电路逻辑图如下:```+--------+ +--------+ +--------+| | | | | || A1 |---| A2 |---| A3 || | | | | |+--------+ +--------+ +--------+| | || | || | |+-------+-------+||v+--------+| || F || |+--------+```2. 实验电路搭建及测试根据逻辑电路图,搭建实验电路,并使用逻辑电平转换器产生不同的输入信号(A1、A2、A3),观察输出信号F是否符合预期。
(1)当A1=0,A2=0,A3=0时,F=0,符合预期;(2)当A1=0,A2=0,A3=1时,F=1,符合预期;(3)当A1=0,A2=1,A3=0时,F=1,符合预期;(4)当A1=0,A2=1,A3=1时,F=0,符合预期;(5)当A1=1,A2=0,A3=0时,F=1,符合预期;(6)当A1=1,A2=0,A3=1时,F=0,符合预期;(7)当A1=1,A2=1,A3=0时,F=0,符合预期;(8)当A1=1,A2=1,A3=1时,F=1,符合预期。
实验四组合电路设计(二)(可编程实验)
实验四组合电路设计(二)一、实验目的1、掌握设计逻辑组合电路的方法。
2、学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。
3、能够学会通过CPLD开发实现组合逻辑电路的功能。
二、实验内容(1)设计一个两个2位二进制相乘电路要求:a.写出实验内容的真值表及逻辑表达式。
b.编写出实现电路的VHDL语言程序。
c.用MAX+plus2进行仿真。
d.将编好的程序下载到CPLD芯片里,用发光二极管观测结果。
(2)一位二进制全减器电路设计要求:a.写出实验内容的真值表及逻辑表达式。
b.编写出实现电路的VHDL语言程序。
c.用MAX+plus2进行仿真。
d.将编好的程序下载到CPLD芯片里,观测结果。
(3)开关控制电路设计要求:a.写出实验内容的真值表及逻辑表达式。
b.编写出实现电路的VHDL语言程序。
c.用MAX+plus2进行仿真。
d.将编好的程序下载到CPLD芯片里,观测结果。
三、实验逻辑功能分析及预习情况(1)设计一个两个2位二进制相乘电路○1列真值表如下:11四、实验过程(1)启动MAX+plusII软件;(2)创建一个新工程;1)一个两个2位二进制相乘电路○1启动文本编译器;○2编译VHDL语言程序为Library ieee;Use ieee.std_logic_1164.all;Entity xiangcheng isPort(A,B:in std_logic_vector(1 downto 0);y:out std_logic_vector(3 downto 0)); End;Architecture xxx of xiangcheng isBeginprocess(A,B)variable ccc:std_logic_vector(3 downto 0); Beginccc:=A&B;case ccc iswhen "0000"=>y<="0000";when "0001"=>y<="0000";when "0010"=>y<="0000";when "0011"=>y<="0000";when "0100"=>y<="0000";when "0101"=>y<="0001";when "0110"=>y<="0010";when "0111"=>y<="0011";when "1000"=>y<="0000";when "1001"=>y<="0010";when "1010"=>y<="0100";when "1011"=>y<="0110";when "1100"=>y<="0000";when "1101"=>y<="0011";when "1110"=>y<="0110";when "1111"=>y<="1001";when others=>y<="ZZZZ";end case;end process;End;○3启动波形图编译器;○4时间分析图○5利用真值表验证所设电路的逻辑功能;○6经过验证保存仿真原理图。
组合逻辑电路设计与测试(加法器) (2)
实验四组合逻辑电路的设计与测试(表决器)一、实验目的掌握组合逻辑电路的设计与测试方法二、实验设备与器件1、+5V直流电源2、逻辑电平开关3、逻辑电平显示器4、直流数字电压表芯片:74LS20 74LS00 74LS10三、实验原理1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。
设计组合电路的一般步骤如图4-1所示。
图4-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表。
然后用逻辑代数或卡诺图化简法求出简化的逻辑函数表达式。
并按实际选用逻辑门的类型修改逻辑表达式。
根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。
最后,用实验来验证设计的正确性。
三、实验内容1、设计一个3人表决器,要求用与非门组成。
设计过程:(1)、列出真值表:输入输出A B C Y0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1(2)、根据真值表写出函数表达式:Y =C A B A C B A C B +++ABC (3)、卡诺图化简:Y =AB+AC+BC (4)、化为与非形式Y =C A B AC B ⋅⋅ (5)、根据表达式画出原理图,如图4-3所示。
图4-2 3人表决器原理图(6)、验证并测试所设计的逻辑电路是否符合要求,并记录测试结果。
输入输出A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 12、用“与非”门设计一个4人表决电路。
当四个输入端中有三个或四个为“1”时,输出端才为“1”。
设计步骤:根据题意列出真值表如表3-1所示,再填入卡诺图表4-2中。
表4-1D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1表4-2DA00 01 11 10BC0001 111 1 1 110 1由卡诺图得出逻辑表达式,并演化成“与非”的形式Z=ABC+BCD+ACD+ABD=根据逻辑表达式画出用“与非门”构成的逻辑电路如图3-2所示。
组合逻辑电路的实验报告
一、实验目的1. 理解组合逻辑电路的基本概念和组成。
2. 掌握组合逻辑电路的设计方法。
3. 学会使用基本逻辑门电路构建组合逻辑电路。
4. 验证组合逻辑电路的功能,并分析其输出特性。
二、实验原理组合逻辑电路是一种数字电路,其输出仅取决于当前的输入,而与电路的先前状态无关。
它主要由与门、或门、非门等基本逻辑门组成。
组合逻辑电路的设计通常遵循以下步骤:1. 确定逻辑功能:根据实际需求,确定电路应实现的逻辑功能。
2. 设计逻辑表达式:根据逻辑功能,设计相应的逻辑表达式。
3. 选择逻辑门电路:根据逻辑表达式,选择合适的逻辑门电路进行搭建。
4. 搭建电路并进行测试:将逻辑门电路搭建成完整的电路,并进行测试,验证其功能。
三、实验设备1. 逻辑门电路芯片:与门、或门、非门等。
2. 连接导线。
3. 逻辑分析仪。
4. 电源。
四、实验内容及步骤1. 设计逻辑表达式以一个简单的组合逻辑电路为例,设计一个4位二进制加法器。
设输入为两个4位二进制数A3A2A1A0和B3B2B1B0,输出为和S3S2S1S0和进位C。
根据二进制加法原理,可以得到以下逻辑表达式:- S3 = A3B3 + A3'B3B2 + A3'B3'B2A2 + A3'B3'B2'B2A1 + A3'B3'B2'B2'B1A0- S2 = A2B2 + A2'B2B1 + A2'B2'B1B0 + A2'B2'B1'B0A0- S1 = A1B1 + A1'B1B0 + A1'B1'B0A0- S0 = A0B0 + A0'B0- C = A3B3 + A3'B3B2 + A3'B3'B2A2 + A3'B3'B2'B2A1 + A3'B3'B2'B2'B1A0 + A2B2 + A2'B2B1 + A2'B2'B1B0 + A2'B2'B1'B0A0 + A1B1 + A1'B1B0 +A1'B1'B0A0 + A0B0 + A0'B02. 选择逻辑门电路根据上述逻辑表达式,选择合适的逻辑门电路进行搭建。
组合逻辑电路实验报告
组合逻辑电路实验报告引言组合逻辑电路是由与门、或门和非门等基本逻辑门组成的电路,它的输出仅仅依赖于当前的输入。
在本实验中,我们将学习如何设计和实现组合逻辑电路,并通过实验验证其功能和性能。
实验目的本实验的目的是让我们熟悉组合逻辑电路的设计和实现过程,掌握基本的逻辑门和组合逻辑电路的基本原理,并能够通过实验验证其功能和性能。
实验器材与预置系统本实验使用以下器材和预置系统:•模型计算机实验箱•功能切换开关•LED指示灯•逻辑门芯片实验内容1. 初级组合逻辑电路设计首先,我们将设计一个简单的初级组合逻辑电路。
根据实验要求,该电路需要实现一个2输入1输出的逻辑功能。
1.1 逻辑设计根据逻辑功能的要求,我们可以先用真值表来表示逻辑关系,然后根据真值表来进行逻辑设计。
假设我们需要实现的逻辑功能是“与门”(AND gate),其真值表如下:输入A输入B输出000010100111根据真值表,我们可以得到逻辑方程为:输出 = 输入A AND 输入B。
1.2 逻辑电路设计根据逻辑方程,我们可以得到逻辑电路的设计图如下:+--------------+------ A ---| || AND Gate |--- Output------ B ---| |+--------------+在这个设计图中,A和B为输入引脚,Output为输出引脚,AND Gate表示与门。
1.3 实验验证在实验过程中,我们可以通过观察LED指示灯的亮灭来验证逻辑电路是否正确实现了目标功能。
通过设置不同的输入A 和B,我们可以观察输出是否符合预期结果。
2. 高级组合逻辑电路设计接下来,我们将设计一个更复杂的高级组合逻辑电路。
这个电路由多个逻辑门连接而成,实现多个输入和多个输出的逻辑功能。
2.1 逻辑设计根据实验要求,我们可以先确定需要实现的逻辑功能,并用真值表来表示逻辑关系。
假设我们需要实现的逻辑功能是“四位全加器”(4-bit full adder),其真值表如下:输入A输入B输入C输出S进位输出Cout0000000110010100110110010101011100111111根据真值表,我们可以得到逻辑方程为:输出S = 输入A XOR 输入B XOR 输入C 进位输出Cout = (输入A AND 输入B) OR (输入C AND (输入A XOR 输入B))2.2 逻辑电路设计根据逻辑方程,我们可以使用多个逻辑门来实现四位全加器电路。
组合逻辑电路分析
实验名称组合逻辑电路分析、设计与测试一、实验目的1.掌握组合逻辑电路的分析与测试方法;2.掌握用门电路设计组合逻辑电路的方法。
二、实验原理1.组合逻辑电路的分析与测试组合逻辑电路是最常见的逻辑电路,即通过基本的门电路(比如与门,与非门,或门,或非门等)来组合成具有一定功能的逻辑电路。
组合逻辑电路的分析,就是根据给定的逻辑电路,写出其输入与输出之间的逻辑函数表达式,或者列出真值表,从而确定该电路的逻辑功能。
组合逻辑电路的测试,就运用实验设备和仪器,搭建出实验电路,测试输入信号和输出信号是否符合理论分析出来的逻辑关系,从而验证该电路的逻辑功能。
组合逻辑电路的分析与测试的步骤通常是:(1)根据给定的组合逻辑电路图,列出输入量和中间量、输出量的逻辑表达式;(2)根据所得的逻辑式列出相应的真值表或者卡诺图;(3)根据真值表分析出组合逻辑电路的逻辑功能;(4)运用实验设备和器件搭建出该电路,测试其逻辑功能。
2.组合逻辑电路的设计与测试组合逻辑电路的设计与测试,就是根据设计的功能要求,列出输入量与输出量之间的真值表,通过化简获得输入量与输出量之间的逻辑表达式,然后根据逻辑表达式用相应的门电路设计该组合逻辑电路,然后运用实验设备与器件搭建实验电路,测试该电路是否符合设计要求。
组合逻辑电路的设计与测试的步骤通常是:(1)根据设计的功能要求,列出真值表或者卡诺图;(2)化简逻辑函数,得到最简的逻辑表达式;(3)根据最简的逻辑表达式,画出逻辑电路;(4)搭建实验电路,测试所设计的电路是否满足要求。
三、预习要求1.阅读理论教材上有关组合逻辑电路的分析与综合以及半加器等章节内容,以达到明确实验内容的目的。
2.查阅附录有关芯片管脚定义和相关的预备材料。
四、实验设备与仪器1.数字电路实验箱;2.芯片74LS00;74LS20。
五、实验内容1.半加器逻辑电路的分析与测试SC图5.5.1 半加器的逻辑电路(1) 根据图5.5.1写出中间量(1Z 、2Z 和3Z )和输出量(S 和C )关于输入量(A 和B )的逻辑表达式。
组合逻辑电路分析与设计实验报告
组合逻辑电路分析与设计实验报告一、实验目的:1. 掌握逻辑设计基本方法2. 能够自己设计简单逻辑电路,并能用VHDL描述3. 理解输出波形和逻辑电路功能之间的关系二、实验设备与器材:1. 实验箱一套(含数字信号发生器、逻辑分析仪等测量设备)2. 电缆若干三、实验原理:组合逻辑电路是指由与或非门等基本逻辑门或它们的数字组合所构成的电路。
对于组合逻辑电路而言,不需要任何时钟信号控制,它的输出不仅能直接受到输入信号的影响,同时还与其输入信号的时序有关,输入信号的任何改变都可能导致输出信号的变化,因此组合逻辑电路的输出总是与它的输入存在着一个确定的逻辑关系。
本实验通过学习与实践,让学生从具体的组合逻辑电路出发,逐步掌握数字逻辑电路设计技术,了解逻辑电路的设计过程,掌握用组合逻辑门件构成数字系统的方法,提高学生设计和分析组合逻辑电路的能力。
四、实验内容及步骤:本实验的基本内容是设计一个可以进行任意二进制数求和的组合逻辑电路,并用VHDL 语言描述该电路。
其主要步骤如下:1. 设计电路的逻辑功能,确定电路所需基本逻辑门电路元件的类型和数量。
2. 画出电路的逻辑图并进行逻辑延迟估算。
3. 利用VHDL语言描述电路功能,并利用仿真软件验证电路设计是否正确。
4. 利用实验箱中的数字信号发生器和逻辑分析仪验证电路设计是否正确。
五、实验结果与分析:我们首先设计了一个可以进行单位位的二进制数求和的电路,即输入两个1位二进制数和一个进位信号,输出一个1位二进制数和一个进位信号。
注意到,当输入的两个二进制数为同等真值时,输出的结果即为原始输入中的异或结果。
当输入的两个二进制数不同时,输出需要加上当前进行计算的进位,同时更新输出进位信号的取值。
我们继续将此电路扩展到多位数的情况。
假设输入两个n位的二进制数a和b,我们需要得到一个(n+1)位的二进制数c,使得c=a+b。
我们需要迭代地对每一位进行计算,并在计算每一位时将其前一位的进位值也列入计算中。
实验4 用译码器实现组合逻辑电路
实验四 用译码器实现组合逻辑电路一、实验目的:学会用译码器实现组合逻辑电路 二、实验原理:用译码器加上门电路的方法,来实现较复杂的组合逻辑电路,简单方便。
本实验主要使用的译码器是74LS138。
对门电路的选择以与非门居多。
72LS138译码器的功能特点: 1、译码器的工作条件:只有当G1=G2A ’=G2B ’=1时或0'2'2==B A G G2、译码器实现函数所用门电路的特点:该芯片是由四个与非门组成的一块集成芯 片,第七个端口和第十四个端口分别是接 地和接电源的端口,实现的特点是有零出 一,全一出零。
三、实验仪器及器材:集成块:74LS138 74LS42 74LS20 74LS08 四、实验内容与步骤:(要求写出各电路的设计步骤,并画出实验电路图。
)1、设计一个三变量,判断奇数个“1”的电路(要求用译码器和与非门实现)。
设当三个变A 、B 、C 量中有奇数个“1”的时候,输出端Y 输出“1”;偶数个的时候输出端Y 输出“0”真值表为:得到函数表达式为:Y=ABC C B A C B A C B A +++=7421Y Y Y Y电路图为:些设备由和两台发电机供电,两台发电机的最大输出功率分别为10W 和30W ,要求设计一个逻辑电路以最节约能源的方式启、停发电机,来控制三台设备的运转、停止(要求用译码器和与非门、与门实现)。
设甲,乙分别为10W ,20W 的发电机;1为工作状态,0为不工作状态。
得到真值表为:得到函数表达式为:甲=ABC C B A C B A ++=742Y Y Y乙=ABC C AB C B A BC A C B A ++++=7631Y Y Y Y Y3、设计一个全加器(要求用译码器和与非门实现)。
Mi-1表示来自低位的进位(0表示无进位,1表示有进位);Ai 表示加数;Bi 表示被加数;Y 表示Ai+Bi 的和;N 表示向高位进位(0表示无进位,1表示有进位)。
实验4 组合逻辑电路1
实验四组合逻辑电路(半加器全加器及逻辑运算)一、实验目的1.掌握组合逻辑电路的功能调试。
2。
验证半加器和全加器的逻辑功能。
3。
学会二进制数的运算规律。
二、实验仪器及材料器件74LS00 二输入端四与非门3片74LS86 二输入端四异或门1片三、预习要求1.预习组合逻辑电路的分析方法.2.预习用与非门和异或门构成的半加器、全加器的工作原理。
3.预习二进制数的运算。
四、实验内容1.组合逻辑电路功能测试。
图4.1(1).用2片74LS00组成图4.1所示逻辑电路。
为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。
(2).图中A、B、C接电平开关,YI,Y2接发光管电平显示.(3)。
按表4。
1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式.(4).将运算结果与实验比较.2.测试用异或门(74LS86)和与非门组成的半加器的逻 辑功能.根据半加器的逻辑表达式可知.半加器Y 是A 、B异或,而进位Z 是A 、B 相与,故半加器可用一个集 成异或门和二个与非门组成如图4.2.(1).在学习机上用异或门和与门接成以上电路. 接电平开关S .Y 、Z 接电平显示.(2).按表4.2要求改变A 、B 状态,填表. 图 4.2 0 1 113.测试全加器的逻辑功能。
(1).写出图4.3电路的逻辑表达式。
(2).根据逻辑表达式列真值表.(3).根据真值表画逻辑函数S i 、 Ci 的卡诺图.图4.3 (4).填写表4.3各点状态(5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.五、实验报告1.整理实验数据、图表并对实验结果进行分析讨论。
2.总结组合逻辑电路的分析方法。
电子技术实验报告4—组合逻辑电路的设计与测试 (1)
电子技术实验报告4—组合逻辑电路的设计与测试系别课程名称电子技术实验班级实验名称实验四组合逻辑电路的设计与测试姓名实验时间学号指导教师报告内容一、实验目的和任务1.掌握组合逻辑电路的分析与设计方法。
2.加深对基本门电路使用的理解。
二、实验原理介绍1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。
例如,根据与门的得知,可以用两个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。
逻辑表达式Z= AB =A B2、分析组合逻辑电路的一般步骤是:(1)由逻辑图写出各输出端的逻辑表达式;(2)化简和变换各逻辑表达式;(3)列出真值表;(4)根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。
3、设计组合逻辑电路的一般步骤与上面相反,是:(1)根据任务的要求,列出真值表;(2)用卡诺图或代数化简法求出最简的逻辑表达式;(3)根据表达式,画出逻辑电路图,用标准器件构成电路;(4)最后,用实验来验证设计的正确性。
4、组合逻辑电路的设计举例(1) 用“与非门”设计一个表决电路。
当四个输入端中有三个或四个“1”时,输出端才为“1”。
设计步骤:根据题意,列出真值表如表13-1所示,再填入卡诺图表13-2中。
表13-1 表决电路的真值表表13-2 表决电路的卡诺图然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z +++=最后,画出用“与非门”构成的逻辑电路如图13-1所示:图13-1 表决电路原理图输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。
三、实验内容和数据记录1、设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),要求用2四输入与非门来实现。
用74LS20实现逻辑函数的接线图实验测得真值表如下:D C B A Z0 0 0 0 00 0 0 1 00 0 1 0 00 0 1 1 00 1 0 0 00 1 0 1 00 1 1 0 10 1 1 1 01 0 0 0 01 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 1四、实验结论与心得1. 该实验存在一定测量误差,误差来源于电路箱中得误差,但是误差实验允许范围内,故该实验有效。
数电实验分析总结
数电实验分析总结引言数电实验是电子信息类专业中一门重要的实验课程,通过实际操作和实验观测,帮助学生巩固和深化对数字电路原理的理论知识的理解和应用。
本文将对数电实验进行分析总结,探讨实验中遇到的问题和解决方法,以及实验结果的分析和结论。
实验一:逻辑门电路设计实验一的主要目的是通过使用逻辑门芯片,实现给定的逻辑功能。
实验中,我们需要根据所给的逻辑表达式,设计逻辑电路图,然后使用逻辑门芯片进行电路的搭建和测试。
通过实验,我们加深了对逻辑门的理解,掌握了逻辑门的合成和分解方法,并且能够通过逻辑表达式设计和实现逻辑电路。
在实验过程中,我们遇到了逻辑电路连接错误和电路网络设计问题。
通过仔细检查和调试,我们成功解决了这些问题,并最终实现了给定的逻辑功能。
实验结果表明,逻辑门芯片的使用能够大大简化电路的设计和实现过程,并提高电路的稳定性和可靠性。
实验二:触发器设计与应用实验二的主要目的是通过触发器的设计和应用,了解触发器的工作原理和性能。
实验中,我们需要使用JK触发器芯片搭建给定的状态机电路,并观察触发器在不同输入条件下的状态变化。
通过实验,我们加深了对触发器的理解,掌握了触发器的设计和应用方法,并能够通过状态转移图设计和实现状态机电路。
在实验过程中,我们遇到了触发器输入信号不稳定和触发器状态转移错误的问题。
通过仔细调试和改进,我们成功解决了这些问题,并最终实现了状态机电路的正确功能。
实验结果表明,触发器在数字电路中具有重要的作用,能够实现存储和状态转移等功能,对于数字系统的设计和实现起到关键作用。
实验三:计数器设计与应用实验三的主要目的是通过计数器的设计和应用,了解计数器的工作原理和应用场景。
实验中,我们需要使用可编程计数器芯片搭建给定的计数电路,并观察计数器在不同时钟信号下的工作状态。
通过实验,我们加深了对计数器的理解,掌握了计数器的设计和应用方法,并能够通过时序图设计和实现计数电路。
在实验过程中,我们遇到了计数器溢出和计数方向错误的问题。
组合逻辑电路设计实验报告
组合逻辑电路设计实验报告一、实验目的1、掌握组合逻辑电路的设计方法。
2、学会使用逻辑门实现给定的逻辑功能。
3、熟悉数字电路实验箱的使用方法。
二、实验设备与器材1、数字电路实验箱2、集成电路芯片:74LS00(四 2 输入与非门)、74LS04(六反相器)、74LS10(三 3 输入与非门)、74LS20(双 4 输入与非门)等。
3、导线若干三、实验原理组合逻辑电路是指在任何时刻,输出状态只取决于同一时刻输入信号的组合,而与电路以前的状态无关。
组合逻辑电路的设计可以通过真值表、逻辑表达式、逻辑图等步骤来完成。
首先,根据给定的逻辑问题,列出真值表。
然后,根据真值表写出逻辑表达式,并进行化简。
最后,根据化简后的逻辑表达式画出逻辑图,选择合适的芯片在实验箱上进行连接和测试。
四、实验内容1、设计一个半加器半加器有两个输入 A 和 B,两个输出 S(和)和 C(进位)。
列出真值表:| A | B | S | C ||||||| 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 || 1 | 0 | 1 | 0 || 1 | 1 | 0 | 1 |写出逻辑表达式:S = A⊕B,C = AB画出逻辑图:使用一个异或门(74LS86)和一个与门(74LS08)实现。
2、设计一个全加器全加器有三个输入 A、B 和 Cin(低位进位),两个输出 S(和)和 Cout(进位)。
列出真值表:| A | B | Cin | S | Cout |||||||| 0 | 0 | 0 | 0 | 0 || 0 | 0 | 1 | 1 | 0 || 0 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 0 | 1 || 1 | 0 | 0 | 1 | 0 || 1 | 0 | 1 | 0 | 1 || 1 | 1 | 0 | 0 | 1 || 1 | 1 | 1 | 1 | 1 |写出逻辑表达式:S = A⊕B⊕Cin,Cout = AB +(A⊕B)Cin 画出逻辑图:使用两个异或门(74LS86)、两个与门(74LS08)和一个或门(74LS32)实现。
数字电路实验四 利用MSI设计组合逻辑电路(二)
数字电路与逻辑设计实验报告实验三利用MSI设计组合逻辑电路(二)姓名:黄文轩学号:17310031班级:光电一班一、实验目的1.熟悉编码器.译码器数据选择器等组合逻辑功能模块的功能与使用方法。
2掌握用MSI设计组合逻辑电路的方法.二、实验器件1.数字电路实验箱数字万用表、示波器。
2.虚拟器件: 74LS151, 74LS00.三、实验预习1、使用数据分配器设计半加半减器半加半减器的真值表如下表所示:考虑到数据选择器的特性是根据传入的地址选择对应的数据,所以我们可以将S、A、B作为地址输入到74LS151的S2、S1、S0选择输入端,根据真值表的要求为D0~D7的数据输入端赋值(与高/低电平相连),即可实现预期功能。
由于有两种不同的输出,我们需要两块74LS151来实现。
电路连接图如下所示:使用Multisum仿真检验正确性,以74LS197作为动态输入观察输出波形,仿真结果如下图所示:波形可以与真值表对应,我们判断这种电路接法是有效正确的。
二、使用74LS151设计逻辑单元逻辑单元的真值表如下图所示:74LS151输入端有三个,而目标逻辑单元有四个输入端,我们可以借助芯片的八个数据输入端置入第四个输入。
考虑对S1、S0、A的任一状态,输出Y可以表示为Y = f(B)的函数形式,因此只需要对每个状态,把B按照对应的逻辑接在D0~D7的数据输入端即可。
每个地址对应的逻辑如下表所示:这样我们可以得到使用一个与非门和74LS151芯片实现的逻辑单元,其电路图如下:使用Multisum仿真检验正确性,以74LS197作为动态输入观察输出波形,仿真结果如下图所示:同样实现了目标的逻辑功能,我们判断这种接法有效正确。
四、实验内容1、具体内容①AU(Arithmetic Unit,算术单元)设计,在实验箱上实现。
设计一个半加半减器,输入为S、A、B,其中S为功能选择口。
当S-0时输出A+B 及进位:当S=1时,输出A-B及借位。
实验四组合电路中的竞争与冒险
一实验目的1、观察组合电路中的竞争不冒险现象。
2、了解消除竞争不冒险现象的方法。
二实验仪器和器件1、数字电路实验箱、数字万用表、示波器;2、器件:3 个 74LS00、1 个 74LS20、1 个 330PF 电容。
三实验预习1、复习与组合逻辑电路中的竞争与冒险现象的有关内容。
竞争(Competition): 在组合逻辑电路中,某个输入变量通过两条或两条以上的途径传到输出端,由于每条途径延迟时间不同,到达输出门的时间就有先有后,这种现象称为竞争。
把不会产生错误输出的竞争的现象称为非临界竞争。
把产生暂时性的或永久性错误输出的竞争现象称为临界竞争。
冒险(risk):信号在器件内部通过连线和逻辑单元时,都有一定的延时。
延时的大小与连线的长短和逻辑单元的数目有关,同时还受器件的制造工艺、工作电压、温度等条件的影响。
信号的高低电平转换也需要一定的过渡时间。
由于存在这两方面因素,多路信号的电平值发生变化时,在信号变化的瞬间,组合逻辑的输出有先后顺序,并不是同时变化,往往会出现一些不正确的尖峰信号,这些尖峰信号称为"毛刺"。
如果一个组合逻辑电路中有"毛刺"出现,就说明该电路存在冒险。
竞争冒险(Competition risk)产生原因:由于延迟时间的存在,当一个输入信号经过多条路径传送后又重新会合到某个门上,由于不同路径上门的级数不同,或者门电路延迟时间的差异,导致到达会合点的时间有先有后,从而产生瞬间的错误输出。
2、画出用74LS00实现实验内容中F函数的逻辑图。
因为要用74LS00(2输入与非门)实现函数F的功能,所以我需要对函数F的表达式进行改变。
如下:F=AB + B’CD’+A’CD=AB + C(B’D’+A’D)=AB + C((B’D’)’(A’D)’)’=((AB)’(C((B’D’)’(A’D)’)’))’用计算机模拟电路软件画出电路图如下:3、写出F的真值表。
《数字逻辑》实验 组合逻辑电路实验
组合逻辑电路实验一一、实验目的1、熟悉半加器、全加器的实验原理,学习电路的连接;2、了解基本74LS系列器件(74LS04、00、32)的性能;3、对实验结果进行分析,得到更为优化的实验方案。
二、实验内容1、按照实验原理图连接电路。
2、实验仪器:74LS系列的芯片、导线。
实验箱内的左侧提供了插放芯片的地方,右侧有控制运行方式的开关KC0、KC1及KC2。
其中KC1用来选择实验序号。
序号为0时,手动进行。
自动运行时按加、减选择所做实验的序号。
试验箱内有分别用于手动和自动实验的输入的控制开关K n和S n。
3、三、实验原理实验原理图如下:四、实验结果及分析1、将实验结果填入表1-1表1-1 2、实验结果分析 由实验结果可得半加和: Hi=Ai ⊕Bi 进位: Ci=AiBi则直接可以用异或门和与门来实现半加器,减少门的个数和级数,提高实验效率。
实验二 全加器一、实验目的1、掌握全加器的实验原理,用简单的与、或非门来实现全加器的功能。
2、分析实验结果,得到全加器的全加和和进位的逻辑表达式,根据表达式用78LS138和与、或、非门来实现全加器。
二、实验内容同半加器的实验,先采用手动方式,再用自动方式。
用自动方式时选实验序号2。
三、实验原理四、实验结果及其分析表1-22、实验结果分析从表1-2中的实验结果可以得到:Si=Ai Bi 1-Ci +Ai Bi 1-Ci +A i B i C i-1=Ai ⊕Bi ⊕Ci-1 Ci=AiBi+AiCi-1+BiCi-1故Si=∑)7,4,2,1(m Ci=∑)7,6,5,3(m因此可用三—八译码器74LS138和与非门实现全加器,逻辑电路图如下:实验三 三—八译码器与八—三编码器一、实验目的1、进一步了解译码器与编码器的工作原理,理解译码和编码是相反的过程。
2、在连接电路时,注意译码器74LS138和编码器74LS148使能端的有效级,知道两者的区别。
3、通过实验理解74LS148是优先权编码器。
实验四 组合逻辑电路的应用
F6 F7 11 11 11 11 11 11 11 11 01 1F
0000 0
0001 0
0010 0
0011 0
0100 0
0101 0
0110 0
0111
0
1000 0
1001 0
1010 0
1011
1
1100 0
1101
1
1110
1
1111
1
F
&
F0 F1 F2 F3 F4 F5 F6 F7
实验四 组合逻辑电路的应用
一、实验目的 1、掌握数据选择器和译码器的功能。 2、用数据选择器实现逻辑函数。 3、用译码器实现逻辑函数。
二、实验要求 1、用四选一数据选择器74LS153实现一个表决电路
2、用3线—8线译码器74LS138和与非门实现一个表决电路。
三、所需实验设备 1、数字电路实验箱 2、数字万用表 3、芯片74LS153,74LS138,74LS30各一片 ,导线 若干。
四、实验原理
2、用3线—8线译码器74LS138和与非门实现一个表决电路。 设A为主裁判B,C,D为副裁判。只有在主裁判同意的前
提下,三名副裁判中多数同意,比赛成绩才被承认;否则,比 赛成绩不予承认。
F0 F1 F2 F3 F4 F5 F6 F7
74138
S1 S2 S3
A0 A1 A2
图4-2 3线—8线译码器逻辑图
五、芯片引脚图
六、实验报告要求
1. 写出实验内容与步骤,画出逻辑图。 2. 整理实验记录,并对结果进行分析。
六、实验报告要求
1、要求使用长江大学标准实验报告纸。报告纸上的姓 名、实验日期、房间、班级、序号、周次、星期和 指导教师等内容都要写完整。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验四组合逻辑电路
一、实验目的
1.学会组合逻辑电路的实验分析及其设计方法。
2.验证半加器、全加器的逻辑功能。
二、实验原理
按照逻辑电路的不同特点,常把数字电路分成两大类:一类叫组合逻辑电路,一类叫时序逻辑电路。
组合逻辑电路在任一时刻的输出状态只决定于该时刻各输入状态的组合,而与电路的原状态无关。
通常组合逻辑电路由门电路组合而成。
分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计是否合理。
分析组合逻辑电路时首先根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式;然后利用公式法或卡诺图法化简逻辑函数表达式;最后列真值表,确定其逻辑功能。
设计组合逻辑电路的任务是根据已知逻辑问题,画出满足任务要求的逻辑电路图。
组合逻辑电路的设计,通常以电路简单,器件最少为目标。
首先应分析实际问题所要求的逻辑功能,确定输入量和输出量,然后列出符合输入、输出关系的真值表,根据真值表写出逻辑函数的表达式并化简成最简式,按照最简逻辑函数的表达式画出逻辑电路图。
三、实验仪器及设备
1、数字逻辑实验箱1台
2、元器件:74LS20×4(四输入端2与非门),74LS00×1(二输入端4与非门),
74LS08×1,74LS32×1,导线若干
四、实验内容
1.测试图1电路的逻辑功能
按图1接线。
按表1要求输入信号,测出相应的输出逻辑电平,并填入表中。
分析电路的逻辑功能,写出逻辑表达式。
图1 图2
2.测试用异或门、非门和与或非门组成的电路的逻辑功能
按图2接线。
按表2要求输入信号,测出相应的输出逻辑电平,并填入表中。
分析电路的逻辑功能,写出逻辑表达式。
3.根据要求自行设计逻辑电路,要求画出逻辑电路图,列真值表并验证其逻辑功能。
(1)有一个车间,有红、黄两故障指示灯,用来表示三台设备的工作情况。
当有一台设备出现故障时,红灯亮;若三台设备都出现故障时,红灯、黄灯都亮。
试用与非门设计一个控制灯亮的逻辑电路。
分析提示:设Y 为红灯,G 为黄灯,以1代表灯亮,0代表灯不亮,其逻辑表达式:Y=BC AC AB G=ABC
C B A C B A C B A
根据公式得到参考逻辑电路图3。
根据分析提示并结合参考电路图,设计出自已的电路,在实验箱上将电路连接完成。
自拟表格记录之。
图3
(2)三人表决电路(74LS08一片,74LS32一片)
三人表决一件题案,若2人以上通过,输出为1,表示题案通过,否则输出为0,表示题案被否决。
设A、B、C三人表决,1为同意,0为否决,F为输出。
自拟表格记录之。
五、实验记录
表1
A B S C
0 0
0 1
1 0
1 1
表2
输入 输出
n A n B 1
−n C n S n C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1
1
1
六、实验预习要求
1.熟悉门电路工作原理及相应的逻辑表达式。
2.熟悉数字集成块的引线位置及引线用途。
3.预习组合逻辑电路的分析、设计步骤。
七、实验报告
1.整理实验结果,填入相应表格中,写出逻辑表达式,并分析各电路的逻辑功能。
2.总结用实验来分析组合逻辑电路功能的方法。