设计二选一多路选择器--FPGA实验设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验报告

课程名称:FPGA设计及应用

实验项目:设计二选一多路选择器

实验时间:2014年4月1号

实验班级:12电子信息工程X班

指导教师:李XX

二〇〇四年四月十三日

广东技术师范学院实验报告

学院:电子与信息学

专业:电子信息工程班级:

12电

本X 班

成绩:

姓名:学号:20120442430 组别:组员:

实验地点:工业中心实验日期: 4.01 指导教师签名:

实验七项目名称:设计二选一多路选择器

一、实验目的和要求

设计二选一多路选择器:通过简单完整而典型的VHDL设计,初步了解VHDL表达和设计电路的方法并对由此而引出的语言对象和语言规则加以有针对性的说明。

二、实验步骤

预习情况操作情况考勤情况数据处理情况

1、创建新的工程,步骤如下:

2、创建新的文件

3、用VHDL语言根据实验要求的功能编写代码

4、编译

5、引脚分配

6、链接数据线,下载程序

三、实验源代码

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux21a IS

PORT(a,b:IN BIT;

s:IN BIT;

y:OUT BIT);

END;

ARCHITECTURE one OF mux21a IS

BEGIN

y<=a WHEN s='0' ELSE b;

END ARCHITECTURE one;

四、实验结果与总结

1、通过本节上机实验,加深了解了VHDL语言现象和语句规律。

2、程序很简单,按照示例程序输入没有什么错误。主要是通过这个简单程序了解了仿真的全过程。

3、实验后基本能从整体上把握VHDL程序的基本结构和设计特点。掌握固定表达句式。

相关文档
最新文档