EDA期末考试试卷
电子科技大学 电子设计自动化技术期末试卷B答案
《大规模数字集成电路设计》试卷B标准答案与评分细则(卷面总分:80分)一. 名词解释(2分×6题)(评分标准:给出正确英文的2分/题,仅给中文解释1分/题)1.EDA:Electronic Design Automation2.IP:Intellectual Property3.CPLD:Complex Programmable Logic Device4.ASIC:Application Specific Integrated Circuit5.MCU:Micro Control Unit6.FSM:Finite State Machine二. 填空题(每空1分,共18分)(评分标准:填写正确 1分/空)1.VHDL用Active-HDL对 HDL程序进行仿真时,常用的三种仿真方法:用图形化界面加激励(或:手动加测试激励)、编写测试平台文件(或:编写TestBench)、编写宏文件(编写*.do文件)。
2.VHDL程序主要有三种描述方式:行为描述方式、RTL描述方式、结构描述方式。
3.VHDL程序中数值的载体称为对象。
VHDL中有四种对象,分别是:常量(CONSTANT)、变量(V ARIABLE)、信号(SIGNAL)、文件(FILE)。
4.VHDL的并行信号赋值语句,除了常见的一般信号赋值语句(如:C<=A and B;)外,还有两种形式,它们分别是:条件信号赋值语句(或:条件型)、选择信号赋值予局(或:选择型)。
5.除了Δ延迟外,VHDL还支持两类性质的延迟,它们分别是:_惯性延迟(INERTIAL)、传播延迟(TRANSPORT)。
6.VHDL结构描述是实体构造的层次化、结构化的表现。
试列举出其中两种描述结构的语句COMPNENT语句(或:元件语句)、GENERATE语句(或:生成语句)。
(也可填:GENERIC语句/参数说明语句、端口映射语句等)7.IP核可以分为三种,即:软核、固核、硬核。
北师大版九年级上册数学期末考试试卷含答案
北师大版九年级上册数学期末考试试题一、单选题1.下列命题是真命题的是()A .四个角都相等的四边形是菱形B .四条边都相等的四边形是正方形C .平行四边形、菱形、矩形都既是轴对称图形,又是中心对称图形D .顺次连接菱形各边中点得到的四边形是矩形2.如图,该几何体的俯视图是()A .B .C .D .3.如图,直线AB//CD//EF ,若BD :DF =3:4,AC =3.6,则AE 的长为()A .4.8B .6.6C .7.6D .8.44.已知在Rt △ABC 中,∠C =90°,若sinA cosA 等于()A .12B C D .15.若关于x 的一元二次方程21022kx x +=-有两个实数根,则实数k 的取值范围是()A .2k <B .2k ≥C .k 2≤且0k ≠D .2k <且0k ≠6.一个封闭的箱子中有两个红球和一个黄球,随机从中摸出两个球,即两个球均为红球的概率是()A.49B.23C.12D.137.已知正比例函数y1=kx的图象与反比例函数y2=mx的图象相交于点A(2,4),则下列说法正确的是()A.正比例函数y1与反比例函数y2都随x的增大而增大B.两个函数图象的另一交点坐标为(2,﹣4)C.当x<﹣2或0<x<2时,y1<y2D.反比例函数y2的解析式是y2=﹣8 x8.如图,在△ABC中,AD⊥BC于点D.若BD=9,DC=5,cos B=35,E为边AC的中点,则cos∠ADE的值为()A.45B.513C.512D.12139.如图,在平行四边形ABCD中,E为边AD的中点,连接AC,BE交于点F.若△AEF的面积为2,则△ABC的面积为()A.8B.10C.12D.1410.如图,在菱形ABCD中,∠ABC=120°,将菱形折叠,使点A恰好落在对角线BD上的点G处(不与B、D重合),折痕为EF,若DG=2,AD=6,则BE的长为()A.52B.73C.3D.3.511.如图,菱形ABCD的周长为16,∠ABC=120°,则AC的长为()A.43B.4C.23D.212.如图,△ABC中,DE∥BC,BE与CD交于点O,AO与DE,BC交于点N、M,则下列式子中错误的是()A.DN ADBM AB=B.AD DEAB BC=C.DO DEOC BC=D.AE AOEC OM=二、填空题13.方程x2=2x的解是_______.14.高为7米的旗杆在水平地面上的影子长为5米,同一时刻测得附近一个建筑物的影子长30米,则此建筑物的高度为_____米.15.小明要把一篇文章录入电脑,所需时间(min)y与录入文字的速度x(字/min)之间的反比例函数关系如图所示,如果小明要在9min内完成录入任务,则小明录入文字的速度至少为______字/min.16.如图,菱形ABCD 的对角线AC 、BD 相交于点O ,过点D 作DH ⊥AB 于点H ,连接OH ,若OA =6,S 菱形ABCD =48,则OH 的长为___.17.如图,校园内有一棵与地面垂直的树,数学兴趣小组两次测量它在地面上的影子,第一次是阳光与地面成60°角时,第二次是阳光与地面成30角时,已知两次测量的影长相差8米,则树高AB 为多少?___.(结果保留根号)18.如图,在平面直角坐标系中,△ABC 和△A 1B 1C 1是以坐标原点O 为位似中心的位似图形,且点B (5,1),B 1(10,2),若△ABC 的面积为m ,则△A 1B 1C 1的面积为_____.19.如图,点A ,B 在反比例函数()10y x x=>的图象上,点C ,D 在反比例函数()0k y k x =>的图像上,AC BD y ∥∥轴,已知点A ,B 的横坐标分别为2,4,OAC 与ABD △的面积之和为3,则k的值为_______.三、解答题20.解方程:3x2+5(2x+1)=0.21.如图,CD是线段AB的垂直平分线,M是AC延长线上一点.(1)用直尺和圆规:作∠BCM的角平分线CN,过点B作CN的垂线,垂足为E;(保留作图痕迹,不要求写作法)(2)求证:四边形BECD是矩形.22.在甲乙两个不透明的口袋中,分别有大小、材质完全相同的小球,其中甲口袋中的小球上分别标有数字1,2,3,4,乙口袋中的小球上分别标有数字2,3,4,先从甲袋中任意摸出一个小球,记下数字为m,再从乙袋中摸出一个小球,记下数字为n.(1)请用列表或画树状图的方法表示出所有(m,n)可能的结果;(2)若m,n都是方程x2﹣5x+6=0的解时,则小明获胜;若m,n都不是方程x2﹣5x+6=0的解时,则小利获胜,问他们两人谁获胜的概率大?23.某学校计划利用一片空地建一个学生自行车车棚,其中一面靠墙,这堵墙的长度为12米.计划建造车棚的面积为80平方米,已知现有的木板材料可使新建板墙的总长为26米,(1)为了方便学生出行,学校决定在与墙平行的一面开一个2米宽的门,那么这个车棚的长和宽分别应为多少米?(2)如图,为了方便学生取车,施工单位决定在车棚内修建几条等宽的小路,使得停放自行车的面积为54米,那么小路的宽度是多少米?24.如图,在平行四边形ABCD 中,对角线AC 与BD 相交于点O ,点E ,F 分别在BD 和DB 的延长线上,且DE =BF ,连接AE ,CF .(1)求证:CF =AE ;(2)当BD 平分∠ABC 时,四边形AFCE 是什么特殊四边形?请说明理由.25.如图,一次函数y kx b =+的图象交反比例函数()0ay x x=>的图象于()4,8A -、(),2B m -两点,交x 轴于点C .(1)求反比例函数与一次函数的关系式;(2)根据图象回答:在第四象限内,当一次函数的值小于反比例函数的值时,x 的取值范围是什么?(3)若点P 在x 轴上,点Q 在坐标平内面,当以A 、B 、P 、Q 为顶点的四边形是矩形时,求出点P 的坐标.26.如图,已知正方形ABCD ,E 是AB 延长线上一点,F 是DC 延长线上一点,且满足BF =EF ,将线段EF 绕点F 顺时针旋转90°得FG ,过点B 作FG 的平行线,交DA 的延长线于点N ,连接NG .(1)求证:BE =2CF ;(2)试猜想四边形BFGN是什么特殊的四边形,并对你的猜想加以证明.27.如图,在平行四边形ABCD中,边AB的垂直平分线交AD于点E,交CB的延长线于点F,连接AF,BE.(1)求证:△AGE≌△BGF;(2)试判断四边形AFBE的形状,并说明理由.参考答案1.D【分析】根据正方形、菱形、矩形、平行四边形的判定和性质一一判断即可【详解】解:A、若四个角都相等,则这四个角都为直角,有三个角是直角的四边形是矩形,故A选项为假命题,不符合题意;B、四条边都相等的四边形是菱形,故B选项为假命题,不符合题意;C、平行四边形是中心对称图形,但不是轴对称图形,菱形和矩形既是轴对称图形,又是中心对称图形,故C选项为假命题,不符合题意;D、顺次连接菱形各边中点得到的四边形是矩形,故D选项为真命题,符合题意,故选:D.【点睛】本题考查的是命题的真假判断以及正方形、菱形、矩形、平行四边形的判定和性质等知识,正确的命题叫真命题,错误的命题叫做假命题.判断命题的真假关键是要熟悉课本中的性质定理.2.A 【分析】俯视图,从上面看到的平面图形,根据定义可得答案.【详解】解:从上面看这个几何体看到的是三个长方形,所以俯视图是:故选A【点睛】本题考查的是三视图,注意能看到的棱都要画成实线,掌握“三视图中的俯视图”是解本题的关键.3.D 【分析】根据平行线分线段成比例定理得到比例式,然后带入已知条件即可得到CE 的长,最后求得AE 的长.【详解】解:∵AB//CD//EF ,BD :DF =3:4,∴34AC B DF CE D ==,∵AC =3.6,∴ 4.8=CE ,∴ 3.6 4.88.4AE AC CE =+=+=.故选:D【点睛】本题考查了平行线分线段成比例:三条平行线截两条直线,所得的对应线段成比例.4.A 【分析】利用60°的三角函数值解决问题.【详解】解:∵∠C =90°,sinA 2=,∴∠A =60°,∴cosA =cos60°12=.故选:A .【点睛】本题考查了特殊角的三角函数值,记住特殊角的三角函数值是解决此类问题的关键.5.C 【分析】根据根的判别式24b ac ∆=-是非负数,且二次项系数不等于0,列不等式求解即可.【详解】解:由题意得,21(2)402k --⨯≥且0k ≠解得k 2≤且0k ≠.故选:C .【点睛】本题考查了一元二次方程ax 2+bx+c=0(a≠0)根的判别式24b ac ∆=-与根的关系求参数,熟练掌握根的判别式与根的关系是解题的关键.当∆>0时,一元二次方程有两个不相等的实数根;当∆=0时,一元二次方程有两个相等的实数根;当∆<0时,一元二次方程没有实数根.6.D 【分析】根据题意画出树状图,由概率公式即可得两次都摸到红球的概率.【详解】解:画出树状图:根据树状图可知:所有等可能的结果共有6种,其中两次都摸到红球的有2种,∴两次都摸到红球的概率是26=13;故选:D .【点睛】本题考查了列表法与树状图法以及概率公式,解决本题的关键是画出树状图.7.C 【分析】由题意可求正比例函数解析式和反比例函数解析式,根据正比例函数和反比例函数的性质可判断求解.【详解】∵正比例函数1y kx =的图象与反比例函数2my x=的图象相交于点(2,4)A ,42k ∴=,42m =,解得:2k =,8m =,∴正比例函数12y x =,反比例函数28y x=,28y x y x =⎧⎪⎨=⎪⎩,解得:24x y =⎧⎨=⎩或24x y =-⎧⎨=-⎩,∴两个函数图象的另一个交点为(2,4)--,在正比例函数12y x =中,20k => ,∴y 随x 的增大而增大,在反比例函数28y x=中,80m => ,,∴在每个象限内y 随x 的增大而减小,∵当x <﹣2或0<x <2时,y 1<y 2,∴A 、B 、D 选项说法错误;选项C 说法正确.故选:C .【点睛】本题考查反比例函数与正比例函数,掌握函数的图像与性质是解题的关键.8.D 【分析】根据直角三角形勾股定理及余弦函数可得12AD =,再由勾股定理可得13AC =,根据直角三角形中斜边上中线等于斜边的一半可得12ED AC EC ==,依据等边对等角可得EDA DAE ∠=∠,由此计算角的余弦即可.【详解】解:∵AD BC ⊥于D ,9BD =,3cos 5B =,∴15cos BDAB B==,12AD ==,∵5DC =,∴13AC ==,∵E 为AC 中点,∴12ED AC EC ==,∴EDA DAE ∠=∠,∴12cos cos 13AD EDA DAE AC ∠=∠==,故选:D .【点睛】题目主要考查勾股定理、锐角三角函数解三角形,等腰三角形的判定和性质,理解题意,综合运用解三角形方法是解题关键.9.C 【分析】先利用平行四边形的性质得AD BC ∥,AD=BC ,由AE BC ∥可判断△AEF ∽△CBF ,根据相似三角形的性质得12EF AF AE BF CF BC ===,然后根据三角形面积公式得16AEF ABC S S ∆∆=,,则=6=12ABC AEF S S ∆∆.【详解】∵平行四边形ABCD∴AD BC ∥,AD=BC∵E 为边AD 的中点∴BC=2AE∵AE BC∥∴∠EAC=∠BCA又∵∠EFA=∠BFC∴△AEF ∽△CBF如图,过点F 作FH ⊥AD 于点H ,FG ⊥BC 于点G ,则12EF AF AE HF BF CF BC FG ====,∴111221362AEF ABC AE FH BC FH S S BC FH BC HG ∆∆⋅⋅⋅===⋅⋅⋅,∵△AEF 的面积为2∴66212ABCAEF S S ∆∆==⨯=故选C .【点睛】本题考查了相似三角形的性质,属于同步基础题.10.A 【分析】作EH ⊥BD 于H ,根据折叠的性质得到EG =EA ,根据菱形的性质、等边三角形的判定定理得到△ABD 为等边三角形,得到AB =BD ,根据勾股定理列出方程,解方程即可.【详解】解:作EH ⊥BD 于H ,由折叠的性质可知,EG=EA,∵四边形ABCD是菱形,∴AD=AB,∠ABD=∠CBD=12∠ABC=60°,∴△ABD为等边三角形,∴AB=BD=AD=6,设BE=x,则EG=AE=6﹣x,在Rt△EHB中,BH=12x,EH32,在Rt△EHG中,EG2=EH2+GH2,即(6﹣x)2=(32x)2+(4﹣12x)2,解得,x=5 2,∴BE=5 2,故选:A.【点睛】此题考查了菱形的性质,折叠的性质,等边三角形的判定及性质,勾股定理,熟记各知识点并综合运用是解题的关键.11.A【详解】∵菱形ABCD的周长为16,∠ABC=120°,∴∠BAD=60°,AC⊥BD,AD=AB=4∴△ABD为等边三角形,∴EB=11=2 22BD AB=在Rt△ABE中,2223AB BE-=故可得AC=2AE=3故选A.12.D【详解】试题分析:∵DE∥BC,∴△ADN∽△ABM,△ADE∽△ABC,△DOE∽△COB,∴DN ADBM AB=,AD DEAB BC=,DO DEOC BC=,所以A、B、C正确;∵DE∥BC,∴△AEN∽△ACM,∴AE AN AC AM=,∴AE AN EC NM=,所以D错误.故选D.点睛:本题考查了相似三角形的判定与性质.注意平行于三角形的一边的直线与其他两边相交,所构成的三角形与原三角形相似;相似三角形对应边成比例.注意数形结合思想的应用.13.x1=0,x2=2【分析】先移项得到x2﹣2x=0,再把方程左边进行因式分解得到x(x﹣2)=0,方程转化为两个一元一次方程:x=0或x﹣2=0,即可得到原方程的解为x1=0,x2=2.【详解】解:∵x2﹣2x=0,∴x(x﹣2)=0,∴x=0或x﹣2=0,∴x1=0,x2=2.故答案为:x1=0,x2=2.【点睛】本题主要考查了解一元二次方程,熟练掌握一元二次方程的解法,并能够根据方程的特征灵活选用合适的方法解答是解题的关键.14.42【分析】根据同一时刻物体的高度与影长成比例解答即可.【详解】解:设此建筑物的高度为x米,根据题意得:7530x=,解得:x=42.故答案为:42.【点睛】本题考查了平行投影,属于基础题型,明确同一时刻物体的高度与影长成比例是解题的关键.15.14009【分析】先利用待定系数法求出反比例函数的解析式,再求出9y =时,x 的值,然后根据反比例函数的增减性即可得.【详解】解:设反比例函数的解析式为(0)k y x x =>,将点(140,10)代入得:140101400k =⨯=,则反比例函数的解析式为1400y x =,当9y =时,14009x =, 反比例函数的1400y x=在0x >内,y 随x 的增大而减小,∴如果小明要在9min 内完成录入任务,则小明录入文字的速度至少为14009字/min ,故答案为:14009.【点睛】本题考查了反比例函数的图象与性质,熟练掌握待定系数法是解题关键.16.4【分析】由菱形的性质得出OA=OC=6,OB=OD ,AC ⊥BD ,则AC=12,由直角三角形斜边上的中线性质得出OH=12BD ,再由菱形的面积求出BD=8,即可得出答案.【详解】解:∵四边形ABCD 是菱形,∴OA=OC=6,OB=OD ,AC ⊥BD ,∴AC=12,∵DH ⊥AB ,∴∠BHD=90°,∴OH=12BD ,∵菱形ABCD 的面积=12AC•BD=12×12•BD=48,∴BD=8,∴OH=12BD=4,故答案为:4.【点睛】本题主要考查了菱形的性质,直角三角形的性质,菱形的面积公式,解题的关键是根据直角三角形斜边上的中线性质求得OH=12BD .17.AB x =,利用正切的定义以及特殊角的正切值,表示出BC 和CD ,然后求解即可.【详解】解:设AB x =米在Rt ABD △中,tan tan 60AB ADB BD ∠=︒==BD =在Rt ABC 中,tan tan 30AB ACB BC ∠=︒==BCCD BC BD =-8=,解得x =即AB =故答案为【点睛】本题考查了解直角三角形的实际应用,涉及正切的定义,解题的关键是掌握正切三角函数的定义以及特殊角的正切值.18.4m 【分析】根据面积比等于位似比的平方即可求得.【详解】 B (5,1),B 1(10,2)则2OB '==12OB OB '∴=,111:1:4ABC A B C S S ∴= ,△ABC 的面积为m ,则△A 1B 1C 1的面积为4m .故答案为4m .【点睛】本题考查了位似图形的性质,位似图形上任意一对对应点到位似中心的距离之比等于相似比,位似图形面积的比等于相似比的平方,掌握位似图形的性质是解题的关键.19.5【分析】根据题意求得A B C D 、、、四边的坐标,再根据OAC 与ABD △的面积之和为3,列方程求解即可.【详解】解:AC BD y ∥∥轴,点A ,B 的横坐标分别为2,4,点C ,D 的横坐标分别为2,4又∵点A ,B 在反比例函数()10y x x=>的图象上,点C ,D 在反比例函数()0k y k x =>的图像上∴1(2,)2A ,1(4,)4B ,(2,)2k C ,(4,)4k D∴12k AC -=,14k BD -=由图形可得,11222OAC k S AC AC -=⨯==△,11224ABD k S BD BD -=⨯==△由题意可得:3OAC ABD S S +=△△,即11342k k --+=解得5k =故答案为:5【点睛】此题考查了反比例函数的性质,解题的关键是掌握反比例函数的有关性质,根据题意正确列出方程.20.1x =2x =b 2-4ac 的值,再代入公式求出解即可.【详解】解:3x 2+5(2x+1)=0,整理得:3x 2+10x+5=0,∴a=3,b=10,c=5,∴22=410435400b ac ∆-=-⨯⨯=>,∴10563x -±-±=,则原方程的解为1x =,2x =21.(1)见解析(2)见解析【分析】(1)尺规作∠BCM 的角平分线CN 的作法:先以点C 为圆心,某一长度为半径作圆,交射线CM 、CN 于两点,再分别以这两点为圆心,大于这两点间距离的一半为半径作圆,在角的内部产生交点,连接交点与点C ,即为∠BCM 的角平分线CN ;尺规作过点B 作CN 的垂线段BE :先以点B 为圆心,某一长度为半径作圆,交CN 于两点,再分别以这两点为圆心,大于这两点间距离的一半为半径作圆,交CN 上方于一点,连接该点与点B ,与CN 交点即为点E .(2)由CD 是线段AB 的垂直平分线,可得AC =BC ,∠DCB =12∠ACB ,又因为CN 平分∠BCM ,易证∠DCN =12(∠ACB+∠BCM)=90°,再结合CD ⊥AB ,BE ⊥CN ,即可证明四边形BECD 是矩形.(1)如图所示,CN,BE为所求(2)证明:∵CD是AB的垂直平分线∴CD⊥BD,AD=BD∴∠CDB=90°,AC=BC∴∠DCB=12∠ACB∵CN平分∠BCM∴∠BCN=12∠BCM∵∠ACB+∠BCM=180°∴∠DCN=∠DCB+∠BCN=12(∠ACB+∠BCM)=90°∵BE⊥CN∴∠BEC=∠DCN=∠CDB=90°∴四边形BECD是矩形.【点睛】本题主要考查了尺规作图、矩形的判定,要求掌握5类基本尺规作图:作一条线段等于已知线段、作一个角等于已知角、作已知角的角平分线、作已知线段的垂直平分线、过一点作已知直线的垂线.22.(1)见解析;(2)小明获胜的概率大,见解析【分析】(1)首先根据题意画出树状图,然后由树状图可得所有可能的结果;(2)画树状图展示所有12种等可能的结果数,m,n都是方程x2﹣5x+6=0的解的结果有4个,m,n都不是方程x2﹣5x+6=0的解的结果有2个,然后根据概率公式求解.【详解】(1)树状图如图所示:所有(m ,n)可能的结果有(1,2),(1,3),(1,4),(2,2),(2,3),(2,4),(3,2),(3,3),(3,4),(4,2),(4,3),(4,4)共12种结果;(2)∵m ,n 都是方程x 2﹣5x+6=0的解,∴m =2,n =3,或m =3,n =2,由树状图得:共有12个等可能的结果,m ,n 都是方程x 2﹣5x+6=0的解的结果有4个(包括m =n =2,和m =n =3两种情况),m ,n 都不是方程x 2﹣5x+6=0的解的结果有2个,小明获胜的概率为41=123,小利获胜的概率为21=126,∴小明获胜的概率大.【点睛】本题考查了列表法与树状图法、一元二次方程的解法以及概率公式,画出树状图是解题的关键.23.(1)长为10米,宽为8米;(2)小路的宽为1米.【分析】(1)设与墙垂直的一面为x 米,然后可得另两面则为(26﹣2x+2)米,然后利用其面积为80,列出方程求解即可;(2)设小路的宽为a 米,利用去掉小路的面积为54平米列出方程求解即可得到答案.【详解】解:(1)设与墙垂直的一面为x 米,另一面则为(26﹣2x+2)米根据题意得:(282)80x x -=整理得:214400x x -+=解得4x =或10x =,当x =4时,28﹣2x =20>12,不符合题意,舍去当x =10时,28﹣5x =8<12,符合题意∴长为10米,宽为8米.(2)设宽为a 米,根据题意得:(8﹣2a )(10﹣a )=54,a 2﹣14a+13=0,解得:a =13>10(舍去),a =1,答:小路的宽为1米.【点睛】此题考查了一元二次方程与几何图形面积的应用,理解题意找到题中的等量关系是解题的关键.24.(1)见解析;(2)四边形AFCE 是菱形,理由见解析【分析】(1)由平行四边形的性质得AD =BC ,AD//BC ,则∠ADE =∠CBF ,再由SAS 证△ADE ≌△CBF 即可求解;(2)根据BD 平分∠ABC 和平行四边形的性质,可以证明▱ABCD 是菱形,从而可以得到AC ⊥BD ,然后即可得到AC ⊥EF ,再根据题目中的条件,可以证明四边形AFCE 是平行四边形,然后根据AC ⊥EF ,即可得到四边形AFCE 是菱形.【详解】解:(1)证明:∵四边形ABCD 是平行四边形,∴AD =BC ,AD//BC ,∴∠ADB =∠CBD ,∵∠ADB+∠ADE=180°,∠CBD+∠CBF=180°∴∠ADE =∠CBF ,在△ADE 和△CBF 中,=AD CBADE CBF DE BF=⎧⎪∠∠⎨⎪=⎩,∴△ADE ≌△CBF (SAS ),∴CF=AE;(2)四边形AFCE 是菱形,理由如下:∵BD 平分∠ABC ,∴∠ABD=∠CBD ,∵四边形ABCD 是平行四边形,∴OA=OC ,OB=OD ,AD//BC ,∴∠ADB=∠CBD ,∴∠ABD=∠ADB ,∴AB=AD ,∴平行四边形ABCD是菱形,∴AC⊥BD,∴AC⊥EF,∵DE=BF,∴OE=OF,又∵OA=OC,∴四边形AFCE是平行四边形,∵AC⊥EF,∴四边形AFCE是菱形.【点睛】本题考查平行四边形的判定与性质、菱形的性质与判定判定、全等三角形的性质与判定,角平分线的定义,解答本题的关键是明确题意,利用数形结合的思想解答.25.(1)32yx-=,1102y x=-;(2)当4<x<16时,(3)(0,0),(15,0),P(10+或(10-.【分析】(1)将点A(4,﹣8),B(m,﹣2)代入反比例函数yax=(x>0)中,可求m、a;再将点A(4,﹣8),B(m,﹣2)代入y=kx+b中,列方程组求k、b即可;(2)根据两函数图象的交点,图象的位置可确定一次函数的值小于反比例函数的值时x的范围;(3)根据矩形形的性质,分类讨论,即可得出结论.【详解】解:(1)∵反比例函数yax=(x>0)的图象于A(4,﹣8),∴k=4×(﹣8)=﹣32.∵双曲线yax=过点B(m,﹣2),∴m=16.由直线y =kx+b 过点A ,B 得:48162k b k b +=-⎧⎨+=-⎩,解得,1210k b ⎧=⎪⎨⎪=-⎩,∴反比例函数关系式为32y x -=,一次函数关系式为1102y x =-.(2)观察图象可知,当4<x <16时,一次函数的值小于反比例函数的值.(3)在直线y 12=x ﹣10中,令y =0,则x =20,∴C (20,0),∴OC =20,AC ==BC ==AO==∴22280320400AO AC OC +=+==∴△OAC 为直角三角形∴OA ⊥AB四边形是矩形时分三种情况①当PA ⊥AB 时∵OA ⊥AB∴P 点以O 点重合∴P 点坐标为(0,0)②当PB ⊥AB 时设P (m ,0),则PC =20﹣m ,∵∠PBC=∠OAC=90°,∠PCB=∠OCA ∴△BCP ∽△ACO ,∴PCBC OC AC=,即2020m-=,,∴m =15,此时P (15,0),③当∠APB=90°时设P (m ,0),作AM ⊥OC ,BN ⊥OC∴∠AMP=∠BNP=90°∵()4,8A -,()16,2B -∴AM=8,BN=2,PM=m-4,NP=16-m∵∠APB=90°∴∠APM+∠BPN=90°∵∠MAP+∠APM=90°∴∠MAP=∠BPN∴△APM ∽△PBN ,∴AM PM PN BN=,即84162m m =--,解得:1025m =±此时P (105,0)+或(105,0)-综上,四边形是矩形时P 点的坐标为(0,0),(15,0),P (1025,0)+或(1025,0)-.【点睛】本题考查了用待定系数法求函数解析式以及反比例函数和一次函数的交点问题,这里体现了数形结合的思想.26.(1)见解析;(2)四边形BFGN 是菱形,理由见解析.【分析】(1)过F 作FH ⊥BE 于点H ,可证明四边形BCFH 为矩形,可得到BH =CF ,且H 为BE 中点,可得BE =2CF ;(2)由条件可证明△ABN ≌△HFE ,可得BN =EF ,可得到BN =GF ,且BN ∥FG ,可证得四边形BFGN 为菱形.【详解】(1)证明:过F 作FH ⊥BE 于H 点,在四边形BHFC中,∠BHF=∠CBH=∠BCF=90°,所以四边形BHFC为矩形,∴CF=BH,∵BF=EF,FH⊥BE,∴H为BE中点,∴BE=2BH,∴BE=2CF;(2)四边形BFGN是菱形.证明:∵将线段EF绕点F顺时针旋转90°得FG,∴EF=GF,∠GFE=90°,∴∠EFH+∠BFH+∠GFB=90°∵BN∥FG,∴∠NBF+∠GFB=180°,∴∠NBA+∠ABC+∠CBF+∠GFB=180°,∵∠ABC=90°,∴∠NBA+∠CBF+∠GFB=180°−90°=90°,由BHFC是矩形可得BC∥HF,∴∠BFH=∠CBF,∴∠EFH=90°−∠GFB−∠BFH=90°−∠GFB−∠CBF=∠NBA,由BHFC是矩形可得HF=BC,∵BC=AB,∴HF=AB,在△ABN和△HFE中,NAB EHF90AB HFNBA EFH∠∠︒⎧⎪⎨⎪∠∠⎩====,∴△ABN≌△HFE,∴NB=EF,∵EF=GF,∴NB=GF,又∵NB∥GF,∴NBFG是平行四边形,∵EF=BF,∴NB=BF,∴平行四边NBFG是菱形.点睛:本题主要考查正方形的性质及全等三角形的判定和性质,矩形的判定与性质,菱形的判定等,作出辅助线是解决(1)的关键.在(2)中证得△ABN≌△HFE是解题的关键.27.(1)证明见解析;(2)四边形AFBE是菱形【分析】(1)由平行四边形的性质得出AD∥BC,得出∠AEG=∠BFG,由AAS证明△AGE≌△BGF即可;(2)由全等三角形的性质得出AE=BF,由AD∥BC,证出四边形AFBE是平行四边形,再根据EF⊥AB,即可得出结论.【详解】(1)证明:∵四边形ABCD是平行四边形,∴AD∥BC,∴∠AEG=∠BFG,∵EF垂直平分AB,∴AG=BG,在△AGE和△BGF中,∵∠AEG=∠BFG,∠AGE=∠BGF,AG=BG,∴△AGE≌△BGF(AAS);(2)解:四边形AFBE是菱形,理由如下:∵△AGE≌△BGF,∴AE=BF,∵AD∥BC,∴四边形AFBE是平行四边形,又∵EF⊥AB,∴四边形AFBE是菱形.【点睛】考点:平行四边形的性质;全等三角形的判定与性质;线段垂直平分线的性质;探究型.。
EDA试题
1.wire型变量与reg型变量有什么本质区别?它们可以用于什么类型语句中?2.阻塞赋值与非阻塞赋值有何区别?1.用Verilog设计一个3-8译码器。
2.设计一个异步清0,同步时钟使能和异步数据加载型8位二进制加法计数器。
参考例3-22module CNT10(clk,rst,en,load,cout,dout,data);input clk,en,rst,load;input [3:0] data;output[3:0] dout;output cout;reg [3:0] q1; reg cout;assign dout=q1;always@(posedge clk or negedge rst or negedge load) beginif(!rst) q1<=0;else if(!load) q1<=data;else if(en) beginif (q1<9) q1<=q1+1;else q1<=4'b0000;end endalways@(q1)if(q1==4'h9) cout=1'b1;else cout=1'b0;endmodule3.设计一个功能类似74LS160的计数器。
4.设计一个含有异步清零和计数使能的16位二进制加减可控计数器的Verilog HDL描述。
5.设计七人表决器。
module voter7(pass,vote);output pass;input [6:0] vote;reg pass;reg [2:0] sum;always @(vote)beginsum=0;if(vote[0]==1) sum=sum+1'b1;if(vote[1]==1) sum=sum+1'b1;if(vote[2]==1) sum=sum+1'b1;if(vote[3]==1) sum=sum+1'b1;if(vote[4]==1) sum=sum+1'b1;if(vote[5]==1) sum=sum+1'b1;if(vote[6]==1) sum=sum+1'b1;if(sum[2]) pass=0; //若超过4人赞成,则pass=0,LED1亮else pass=1;endendmoduleAltera Xilinx一、填空题(10分,每小题1分)1.用EDA技术进行电子系统设计的目标最终完成 ASIC 的设计与实现。
期末考试试卷(答案)
济南大学学年2 学期考试试卷(A卷)课程西方经济学(微观部分)授课教师考试时间考试班级姓名学号一.单向选择题(共题,每题1分,共分)1.微观经济学关于人性的假设为:(A)A.经济人B.复杂人C.社会人D.自我实现人2.在得出某种商品的个人需求曲线时,下列因素除哪一种外均保持为常数?(D )A.个人收入B.其余商品的价格C.个人偏好D.所考虑商品的价格3.需求量和价格之所以呈反方向变化,是因为(C )A.替代效应B.收入效应C.边际效用递减D.边际技术替代率递减4.消费者预期某物品未来价格要上升,则对该物品当前需求会(B )A.减少B.增加C.不变D.上述三种情况都可能5.下列因素哪一种不会使需求曲线作位移(B )A.消费者收入水平发生变化B.商品价格下降C.相关商品价格下降D.消费者偏好变化6.若消费者收入水平突然增加,同时这种产品的生产技术有很大改进,可以预料( D)A.该商品的需求曲线和供给曲线都向右移动并使均衡价格和产量提高B.该商品的需求曲线和供给曲线都向右移动并使均衡价格和产量下降C.该商品的需求曲线和供给曲线都向左移动并使均衡价格上升而均衡产量下降D.二该商品的需求曲线和供给曲线I向句右移动并使均衡产量增加,但均衡价格可能上升也可能下降7.如果某种商品供给曲线的斜率为正,在保持其余因素不变的条件下,该商品价格的上升,导致(A )A.供给增加B.供给量增加C.供给减少D.供给量减少8.建筑工人工资提高将使(A )A.新房子供给曲线左移并使房子价格上升B.新房子供给曲线右移并使房子价格下降C.新房子需求曲线左移并使房子价格下降D.新房子需求曲线右移并使房子价格上升9.若一条线性的需求曲线与一条非线性需求曲线相切,则切点处两曲线的需求价格弹性( A )A.相同B.不同C.可能相同也可能不同D.依切点所在位置而定10.直线型需求曲线的斜率不变,因此其价格弹性也不变,这个说法(B )A.一定正确B.一定不正确C.可能不正确D.无法断定正确不正确11.对劣等商品需求的收入弹性Em是(C )A.Em<1 B.Em=O C.Em<O D.Em>012.若x和y二产品的交叉弹性是-2.3,则(D )。
2021-2022学年下学期七年级期末考试数学试卷附答案解析
2021-2022学年七年级下学期期末考试数学试卷一.选择题(共12小题,满分48分,每小题4分)1.(4分)若代数式m﹣3的值是10,则m等于()A.7B.﹣13C.13D.﹣7解:由题意得,m﹣3=10,解得m=13.故选:C.2.(4分)下列图形中,既是轴对称图形又是中心对称图形的是()A.B.C.D.解:A、不是轴对称图形,是中心对称图形,故此选项错误;B、不是轴对称图形,是中心对称图形,故此选项错误;C、是轴对称图形,不是中心对称图形,故此选项错误;D、是轴对称图形,也是中心对称图形,故此选项正确.故选:D.3.(4分)不等式x﹣1>0的解集在数轴上表示为()A.B.C.D.解:x﹣1>0,x>1,在数轴上表示为,故选:C.4.(4分)若一个三角形的两边长分别为3cm、6cm,则它的第三边的长可能是()A.2cm B.3cm C.6cm D.9cm解:设第三边长为xcm,根据三角形的三边关系可得:6﹣3<x<6+3,解得:3<x<9,故选:C.5.(4分)已知{x =ay =−2是关于x ,y 的方程3x ﹣ay =5的一个解,则a 的值为( ) A .1B .2C .3D .4解:∵{x =ay =−2是关于x ,y 的方程3x ﹣ay =5的一个解 ∴3a ﹣a ×(﹣2)=5 ∴3a +2a =5 ∴5a =5 ∴a =1 故选:A .6.(4分)如图,△ACE ≌△DBF ,AE ∥DF ,AB =3,BC =2,则AD 的长度等于( )A .2B .8C .9D .10解:由图形可知,AC =AB +BC =3+2=5, ∵△ACE ≌△DBF , ∴BD =AC =5, ∴CD =BD ﹣BC =3, ∴AD =AC +CD =5+3=8, 故选:B .7.(4分)如图,△ABC 的高CD 、BE 相交于O ,如果∠A =55°,那么∠BOC 的大小为( )A .125°B .135°C .105°D .145°解:∵CD 、BE 均为△ABC 的高, ∴∠BEC =∠ADC =90°=90°, ∵∠A =55°,∴∠OCE =90°﹣∠A =90°﹣55°=35°,则∠BOC =∠BEC +∠OCE =90°+35°=125°. 故选:A .8.(4分)若(x +y ﹣3)2与3|x ﹣y ﹣1|互为相反数,则y x 的值是( ) A .12B .1C .2D .4解:根据题意得: {x +y −3=0x −y −1=0, 解得:{x =2y =1,则y x =12=1, 故选:B .9.(4分)如图,已知△ABC 中,∠C =90°,AC =BC ,把△ABC 绕点A 逆时针旋转60°得到△AB 'C ',连接C 'B ,则∠ABC '的度数是( )A .45°B .30°C .20°D .15°解:如图,连接BB ′,延长BC ′交AB ′于点M ;由题意得:∠BAB ′=60°,BA =B ′A , ∴△ABB ′为等边三角形, ∴∠ABB ′=60°,AB =B ′B ; 在△ABC ′与△B ′BC ′中, {AC′=B′C′AB =B′B BC′=BC′,∴△ABC ′≌△B ′BC ′(SSS ), ∴∠MBB ′=∠MBA =30°, 即∠ABC '=30°; 故选:B .10.(4分)已知方程组{3x +y =3x +3y =5,则(x +y )(x ﹣y )的值为( )A .16B .﹣16C .2D .﹣2解:{3x +y =3①x +3y =5②,①+②得:4x +4y =8, 除以4得:x +y =2, ①﹣②得:2x ﹣2y =﹣2, 除以2得:x ﹣y =﹣1,所以(x +y )(x ﹣y )=2×(﹣1)=﹣2, 故选:D .11.(4分)下列图形都是由同样大小的实心圆点按一定规律组成的,其中第①个图形一共有5个实心圆点,第②个图形一共有8个实心圆点,第③个图形一共有11个实心圆点,…,按此规律排列下去,第⑥个图形中实心圆点的个数为( )A .18B .19C .20D .21解:∵第①个图形中实心圆点的个数5=2×1+3, 第②个图形中实心圆点的个数8=2×2+4, 第③个图形中实心圆点的个数11=2×3+5, ……∴第⑥个图形中实心圆点的个数为2×6+8=20, 故选:C .12.(4分)如图所示,在这个数据运算程序中,若开始输入的x 的值为2,结果输出的是1,返回进行第二次运算则输出的是﹣4,…,则第2020次输出的结果是( )A .﹣1B .3C .6D .8解:把x =2代入得:12×2=1, 把x =1代入得:1﹣5=﹣4,把x =﹣4代入得:12×(﹣4)=﹣2,把x =﹣2代入得:12×(﹣2)=﹣1, 把x =﹣1代入得:﹣1﹣5=﹣6, 把x =﹣6代入得:12×(﹣6)=﹣3,把x =﹣3代入得:﹣3﹣5=﹣8, 把x =﹣8代入得:12×(﹣8)=﹣4,以此类推,∵(2020﹣1)÷6=336…3, ∴第2020次输出的结果为﹣1, 故选:A .二.填空题(共6小题,满分24分,每小题4分) 13.(4分)代数式3x +2比4﹣x 大4,则x = 1.5 . 解:根据题意得:(3x +2)﹣(4﹣x )=4, 去括号得:3x +2﹣4+x =4, 移项得:3x +x =4﹣2+4, 合并得:4x =6, 解得:x =1.5. 故答案为:1.5.14.(4分)已知一个多边形的内角和与外角和之比是3:2,则这个多边形的边数为 5 . 解:设这个多边形的边数为n ,依题意得: (n ﹣2)180°=32×360°,解得n =5.故这个多边形的边数为5. 故答案为:5.15.(4分)若a ﹣5b =3,则17﹣3a +15b = 8 . 解:∵a ﹣5b =3,∴17﹣3a +15b =17﹣3(a ﹣5b ), =17﹣3×3, =17﹣9, =8. 故答案为:8.16.(4分)如图,△ABC 中,∠A =55°,将△ABC 沿DE 翻折后,点A 落在BC 边上的点A ′处.如果∠A ′EC =70°,那么∠A ′DB 的度数为 40° .解:由翻折的性质可知:∠ADE =∠EDA ′,∠AED =∠A ′ED =12(180°﹣70°)=55°, ∵∠A =55°,∴∠ADE =∠EDA ′=180°﹣55°﹣55°=70°, ∴∠A ′DB =180°﹣140°=40°, 故答案为40°.17.(4分)若关于x ,y 的方程组{5x +2y =30x +y −m =0的解都是正数,则m 的取值范围是 6<m<15 .解:解方程组{5x +2y =30x +y −m =0得{x =30−2m3y =5m−303, 根据题意,得:{30−2m3>0①5m−303>0②, 解不等式①,得:m <15,解不等式②,得:m >6, ∴6<m <15, 故答案为:6<m <15.18.(4分)今年新冠病毒疫情初期,口罩供应短缺,某地规定:每人每次限购5只.李红出门买口罩时,无论是否买到,都会消耗家里库存的口罩一只,如果有口罩买,他将买回5只.已知李红家原有库存15只,出门10次购买后,家里现有口罩35只.请问李红出门没有买到口罩的次数是 4 次.解:设李红出门没有买到口罩的次数是x ,买到口罩的次数是y ,由题意得: {x +y =1015−1×10+5y =35, 整理得:{x +y =105y =30,解得:{x =4y =6.故答案为:4.三.解答题(共7小题,满分70分,每小题10分) 19.(10分)解下列方程与不等式: ①x+13−x 2=1;②3(2﹣x )≤34x ﹣9. 解:①x+13−x 2=1,去分母,2x +2﹣3x =6, 移项合并,﹣x =4, 系数化1,x =﹣4; ②3(2﹣x )≤34x ﹣9,去分母得,12(2﹣x )≤3x ﹣36, 去括号得,24﹣12x ≤3x ﹣36, 移项、合并得,15x ≥60, 系数化1,x ≥4.20.(10分)在平面直角坐标系中,△ABC 的位置如图所示(每个小方格都是边长为1个单位长度的正方形).(1)画出将△ABC 以直线m 为对称轴,轴反射后得到的△A 1B 1C 1;(2)画出将△ABC 向下平移5个单位,再向左平移6个单位后得到的△A 2B 2C 2; (3)将△ABC 绕着点A 顺时针旋转90°,画出旋转后得到的△AB 3C 3,解:(1)如图所示,△A 1B 1C 1即为所求;(2)如图所示,△A 2B 2C 2即为所求; (3)如图所示,△AB 3C 3即为所求. 21.(10分)(1){3x −2y =112x +3y =16(2){5x −1>3(x +1)12x −1≤7−32x解:(1){3x −2y =11①2x +3y =16②,①×3+②×2,得:13x =65, 解得x =5,将x =5代入①,得:15﹣2y =11, 解得y =2,∴{x =5y =2;(2)解不等式5x ﹣1>3(x +1),得:x >2, 解不等式12x ﹣1≤7−32x ,得:x ≤4,则不等式组的解集为2<x ≤4.22.(10分)某人乘船由A 地顺流而下到达B 地,然后又逆流而上到C 地,共用了3小时.已知船在静水中速度为每小时8千米,水流速度是每小时2千米.已知A 、B 、C 三地在一条直线上,若AC 两地距离是2千米,则AB 两地距离多少千米?(C 在A 、B 之间) 解:设AB 两地距离为x 千米,则CB 两地距离为(x ﹣2)千米. 根据题意,得x 8+2+x−28−2=3解得 x =252. 答:AB 两地距离为252千米.23.(10分)如图1,在△ABC 中,BD 平分∠ABC ,CD 平分∠ACB . (1)若∠A =80°,则∠BDC 的度数为 130° ; (2)若∠A =α,直线MN 经过点D .①如图2,若MN ∥AB ,求∠NDC ﹣∠MDB 的度数(用含α的代数式表示); ②如图3,若MN 绕点D 旋转,分别交线段BC ,AC 于点M ,N ,试问在旋转过程中∠NDC ﹣∠MDB 的度数是否会发生改变?若不变,求出∠NDC ﹣∠MDB 的度数(用含α的代数式表示),若改变,请说明理由;③如图4,继续旋转直线MN ,与线段AC 交于点N ,与CB 的延长线交于点M ,请直接写出∠NDC 与∠MDB 的关系(用含α的代数式表示).解:(1)如图1中,∵BD 平分∠ABC ,CD 平分∠ACB , ∴∠DBC =12∠ABC ,∠DCB =12∠ACB ,∴∠BDC=180°﹣(∠DBC+∠DCB)=180°−12(∠ABC+∠ACB)=180°−12(180°﹣∠A)=90°+12∠A,∵∠A=80°,∴∠BDC=130°.故答案为130°.(2)①如图2中,∵MN∥AB,∴∠A=∠DNC,∠ABD=∠BDM,∴∠NDC﹣∠BDM=180°﹣∠A−12∠ACB−12∠ABC=180°﹣α−12(180°﹣α)=90°−12α.②结论不变.理由:如图3中,∵∠NDC﹣∠BDM=∠DMC+∠DCM﹣∠BDM=∠DBM+∠BDM+∠DCM﹣∠BDM=12∠ABC+12∠ACB=12(180°﹣α)=90°−12α,∴结论成立.③结论:如图4中,∠NDC+∠MDB=90°−12α.理由:∵∠NDC+∠BDM=180°﹣∠BDC,∠BDC=90°+12α,∴∠NDC+∠BDM=90°−12α.24.(10分)某公司销售甲、乙两种品牌的投影仪,这两种投影仪的进价和售价如表所示:甲乙进价(元/套)30002400售价(元/套)33002800该公司计划购进两种投影仪若干套,共需66000元,全部销售后可获毛利润9000元.(1)该公司计划购进甲、乙两种品牌的投影仪各多少套?(2)通过市场调研,该公司决定在原计划的基础上,减少甲种投影仪的购进数量,增加乙种投影仪的购进数量,已知乙种投影仪增加的数量是甲种投影仪减少的数量的2倍.若用于购进这两种投影仪的总资金不超过75000元,问甲种投影仪购进数量至多减少多少套?解:(1)设该公司计划购进甲种品牌的投影仪x 套,乙种品牌的投影仪y 套,依题意,得:{3000x +2400y =66000(3300−3000)x +(2800−2400)y =9000, 解得:{x =10y =15. 答:该公司计划购进甲种品牌的投影仪10套,乙种品牌的投影仪15套.(2)设甲种品牌的投影仪购进数量减少m 套,则乙种品牌的投影仪购进数量增加2m 套, 依题意,得:3000(10﹣m )+2400(15+2m )≤75000,解得:m ≤5.答:甲种品牌的投影仪购进数量至多减少5套.25.(10分)如图是某月的月历(1)如图1,带阴影的方框中的9个数的和与方框中心的数有什么关系?并试着说明理由;(2)如果将阴影的方框移至图2的位置,(1)中关系的关系还成立吗?并试着说明理由;(3)不改变阴影方框的大小,将方框移动几个位置试一试,你能得出什么结论?并说明理由.解:(1)带阴影的方框中的9个数的和是方框中心的数的9倍因为3+4+5+10+11+12+17+18+19=99=11×9所以带阴影的方框中的9个数的和是方框中心的数的9倍.(2)答:(1)关系的关系成立.因为8+9+10+15+16+17+22+23+24=144=16×9所以带阴影的方框中的9个数的和是方框中心的数的9倍,改变位置,关系不变.(3)不改变阴影方框的大小,将方框移动几个位置,关系不变,带阴影的方框中的9个数之和是方框中心数的9倍.设方框中心的数为x,则(x﹣8)+(x﹣7)+(x﹣6)+(x﹣1)+x+(x+1)+(x+6)+(x+7)+(x+8)=9x.所以带阴影的方框中的9个数的和是方框中心的数的9倍.故移动位置,方框中9个数之和为方框正中心数的9倍.四.解答题(共1小题,满分8分,每小题8分)26.(8分)如图,在平面直角坐标系中,△ABC的顶点坐标分别为A(﹣3,2)、B(﹣5,1)、C(﹣2,0).(1)试在图上画出△A1B1C1,使得△A1B1C1与△ABC关于点E(﹣3,﹣1)成中心对称;(2)P(a,b)是△ABC的边上AC上一点,△ABC经平移后,点P的对应点是P′(a+6,b+2),请画出上述平移后的△A2B2C2,并判断△A2B2C2与△A1B1C1是否成中心对称?若是,请直接写出对称中心的坐标;若不是,请说明理由.解:(1)如图所示,△A1B1C1即为所求;(2)由点P(a,b)平移后的对应点为P′(a+6,b+2)可知,△ABC向右平移6个单位,再向上平移2个单位可得△A2B2C2,如图所示,△A2B2C2与△A1B1C1成中心对称,对称中心坐标是(0,0).。
EDA试卷
EDA试卷《eda技术与项目训练》课程试题库-eda试卷选择题一.项目的输入和输出端口在中定义。
a、实体B.结构C.任何职位D.流程2.描述项目具有逻辑功能的是。
a.实体b.结构体c.配置d.进程3.关键字架构定义。
a、结构B.流程c.实体D.配置4.maxplusii中编译vhdl源程序时要求。
a、文件名和实体名可以不同。
B.文件名和实体名是独立的。
C.文件名和实体名应相同。
D.不确定。
5.1987标准VHDL语言区分大小写。
a、敏感B.仅小写C.仅大写D.不敏感6.关于1987标准的vhdl语言中,标识符描述正确的是a.必须以英文字母开头b.可以使用汉字开头c.可以使用数字开头d.任何字符都可以7.在1987年标准的VHDL语言中,标识符的正确描述是a。
下划线可以一起使用B。
下划线不能一起使用C。
下划线不能一起使用D。
可以使用任何字符8。
符合1987年VHDL 标准的标识符是。
a、 a_2b。
a+2c。
2ad。
229.符合1987vhdl标准的标识符是。
a.a_2_3b.a_____2c.2_2_ad.2a10.不符合1987年VHDL标准的标识符为。
a、 a_uu1_uub。
a_uu2c。
2.ad.asd.one11.不符合1987vhdl标准的标识符是。
a.a2b2b.a1b1c.ad12d.p12.变量定义在VHDL语言中的位置是。
a、实体B中的任何位置。
实体C中的特定位置。
结构D中的任何位置。
结构13中的特定位置VHDL语言中的信号定义位置为。
a.实体中任何位置b.实体中特定位置c.结构体中任何位置d.结构体中特定位置14.变量是局部量可以写在。
a.实体中b.进程中。
c.线粒体d.种子体中15.变量和信号的描述是正确的。
a、变量分配号为:=B。
信号分配号为:=C。
变量分配号为<=d。
两者之间没有差异。
16.变量和信号的描述是正确的。
a.变量可以带出进程b.信号可以带出进程c.信号不能带出进程d.二者没有区别17.关于vhdl数据类型,正确的是。
EDA技术期末试卷(含答案)
EDA技术期末试卷(含答案)班级学号姓名密封线内不得答题⼀、单项选择题(30分) 1.以下描述错误的是 CA .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境B .Altera 是世界上最⼤的可编程逻辑器件供应商之⼀C .MAX+plusII 是Altera 前⼀代FPGA/CPLD 集成开发环境QuartusII 的更新换代新产品D .QuartusII 完全⽀持VHDL 、Verilog 的设计流程2.以下⼯具中属于FPGA/CPLD 开发⼯具中的专⽤综合器的是 BA .ModelSimB .Leonardo SpectrumC .Active HDLD .QuartusII 3.以下器件中属于Xilinx 公司⽣产的是 CA .ispLSI 系列器件B .MAX 系列器件C .XC9500系列器件D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 BA .信号是描述硬件系统的基本数据对象,它的性质类似于连接线B .信号的定义范围是结构体、进程C .除了没有⽅向说明以外,信号与实体的端⼝概念是⼀致的D .在进程中不能将变量列⼊敏感信号列表中 5.以下关于状态机的描述中正确的是 BA .Moore 型状态机其输出是当前状态和所有输⼊的函数B .与Moore 型状态机相⽐,Mealy 型的输出变化要领先⼀个时钟周期C .Mealy 型状态机其输出是当前状态的函数D .以上都不对6.下列标识符中, B 是不合法的标识符。
A .PP0B .ENDC .Not_AckD .sig7.⼤规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与⼯作原理的描述中,正确的是 C 。
A .CPLD 即是现场可编程逻辑器件的英⽂简称B .CPLD 是基于查找表结构的可编程逻辑器件C .早期的CPLD 是从GAL 的结构扩展⽽来D .在Altera 公司⽣产的器件中,FLEX10K 系列属CPLD 结构8.综合是EDA 设计流程的关键步骤,在下⾯对综合的描述中, D 是错误的。
Verilog试题 A答案
北京航空航天大学2011 ~2012 学年第二学期 数字EDA 期末考试试卷( 2012 年 5 月 23 日)班级:__________;学号:______________;姓名:__________________;成绩:___________注意事项:1、填空题与选择题直接在试题上作答2、设计题在答题纸上作答正题:一、填空题(共30分,每道题3分)1. 写出表达式以实现对应电路的逻辑功能。
F2. 根据图中输入输出关系将Verilog模块定义补充完整,其中信号A 为5比特宽度,其余信号为1比特宽度。
A 宽3. IEEE 标准的硬件描述语言是 verilog HDL 和 VHDL 。
4. 你所知道的可编程逻辑器件有(至少两种): FPGA, CPLD, GAL, PAL (任写其二) 。
5. 假定某4比特位宽的变量a 的值为4’b1011,计算下列运算表达式的结果6. Verilog 语言规定了逻辑电路中信号的4种状态,分别是0,1,X 和Z 。
其中0表示低电平状态,1表示高电平状态,X 表示 不定态(或未知状态) ,Z 表示 高阻态 。
assign F= E ^ ( (A&B) | (!(C&D)))module tblock( A,B,C ) ; output [4:0] A;input B;inout C; …… //省略了功能描述endmodule //模块结束 &a = 1’b0 ~a = 4’b0100 {3{a}} = 12’b101110111011 {a[2:0],a[3]} = 4’b0111 (a<4’d3) || (a>=a) = 1’b1 !a = 1’b07. 下面两段代码中信号in ,q1,q2和q3的初值分别为0,1,2和3,那么经过1个时钟周期后,左侧程序中q3的值变成 0 ,右侧程序中q3的值变成 2 。
8. Verilog 语言规定的两种主要的数据类型分别是 wire(或net) 和 reg 。
可编程逻辑器件及EDA技术考试题
可编程逻辑器件及EDA技术试卷专业班级:姓名:学号:一.选择题(20分)1. 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。
A. FPGA全称为复杂可编程逻辑器件;B. FPGA是基于乘积项结构的可编程逻辑器件;C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。
2. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述________。
A. 器件外部特性B. 器件的内部功能C. 器件外部特性与内部功能D. 器件的综合约束3.进程中的信号赋值语句,其信号更新是_______。
A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。
4. 不完整的IF语句,其综合结果可实现____。
A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路5.在VHDL语言中,下列对时钟边沿检测描述中,错误的是_____。
A. if clk’event and clk = ‘1’ thenB. if falling_edge(clk) thenC. if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then6.下列语句中,不属于并行语句的是:_______A.进程语句B.CASE语句C.元件例化语句D.WHEN…ELSE…语句7.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______A.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成8.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______A.IEEE库B.VITAL库C.STD库D.WORK工作库9. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中______不属于面积优化。
eda期末考试试卷
eda期末考试试卷EDA期末考试试卷一、选择题(每题2分,共20分)1. EDA是指:A. 电子设计自动化B. 电子数据交换C. 电子文档分析D. 电子设备应用2. 在EDA工具中,用于绘制电路原理图的软件通常被称为:A. PCB DesignerB. Schematic CaptureC. Logic SimulatorD. Layout Editor3. 下列哪个不是数字逻辑门的基本类型:A. ANDB. ORC. NOTD. XOR4. 以下哪个是EDA工具中用于模拟电路行为的软件:A. VHDLB. VerilogC. RTL SimulatorD. PCB Router5. 在设计一个数字电路时,以下哪项不是设计流程的一部分:A. 需求分析B. 原理图绘制C. 电路板设计D. 手工焊接6. FPGA代表:A. 现场可编程门阵列B. 固定门阵列C. 通用门阵列D. 专用集成电路7. 在VHDL或Verilog中,以下哪个关键字用于定义一个过程:A. processB. moduleC. functionD. package8. 以下哪个是EDA工具中用于生成电路板布局的软件:A. Schematic CaptureB. Layout EditorC. PCB DesignerD. Logic Simulator9. 在数字电路设计中,同步设计和异步设计的主要区别在于:A. 使用的逻辑门类型B. 电路的复杂性C. 时钟信号的使用D. 电路的功耗10. 下列哪个不是常用的PCB设计软件:A. Altium DesignerB. EagleC. KiCadD. MATLAB二、简答题(每题10分,共30分)1. 简述EDA工具在电子设计过程中的作用和重要性。
2. 解释什么是信号完整性,并讨论它在高速电路设计中的重要性。
3. 描述一个典型的数字电路设计流程,并解释每个步骤的目的。
三、计算题(每题15分,共30分)1. 给定一个简单的数字逻辑电路,包含两个输入A和B,一个输出Y。
e英语教程3期末A卷试卷
A.fear B. fears C. feared D. fearing
中南财经政法大学武汉学院2014—2015学年第1学期
期末考试试卷
课程名称:《大学英语3》 (A)卷
课程代号:B1030043
考试形式:闭卷、笔试
使用对象:艺术系1301---10班
题 号
Ⅰ
Ⅱ
Ⅲ
Ⅳ
Ⅴ
Ⅵ
总分
总分人
分 值
15
20
34
10
12
9
100
得 分
得 分
评阅人
I. Listening Comprehension(本题共15小题,每小题1分,共15分)
Conversation 1
5.Mary was sleepless for ______.
A.several hoursB.one hour
C.the whole nightD.from 21:00 o’clock to the midnight
st night, Ken held a party with _______.
A.MaryB.his classmatesC.his girlfriendD.his friends
7.Ken is _______ that Mary has talked to him about what happened.
A.unhappyB.gladC.disappointed D. worrying
EDA技术试题库
EDA试题库建设[70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。
]基础题部分填空题(140空)12.EDA3,4.VHDL56.以7.8.在PC9.VHDL10.常用11.在12.13、VHDL14、VHDL1516、VHDL17、VHDL18。
192021、VHDL22、STD_LOGIC_1164程序包是(IEEE)库中最常用的程序包。
23.文本输入是指采用(硬件描述语言)进行电路设计的方式。
24.当前最流行的并成为IEEE标准的硬件描述语言包括(vhdl)和(verilog)。
25.采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上)的设计。
26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。
27.EDA工具大致可以分为(设计输入编辑器)、(仿真器)、(hdl综合器)、(适配器)以及(下载器)等5个模块。
28.将硬件描述语言转化为硬件电路的重要工具软件称为(综合器)。
29.用MAX+plusII输入法设计的文件不能直接保存在(根目录)上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的(工程)。
30.若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择(blockdiagram/Schematic)命令方式。
31.若在MAX+plusII集成环境下,执行文本输入设计方法,应选择(.vhd)方式。
32.\maxplus2\max2lib\prim是MAX+plusII(基本)元件库,其中包括(门电路)、(触发器)、(电源)、(输入)、(输出)等元件。
33.\maxplus2\max2lib\mf是函数元件库,包括(加法器)、(编码器)、(译码器)、(数据选择器数据)、(移位寄存器)等74系列器件。
EDA技术EDA技术试卷(练习题库)(2023版)
EDA技术EDA技术试卷(练习题库)1、个项目的输入输出端口是定义在()。
2、描述项目具有逻辑功能的是()。
3、关键字ARCHITECTURE定义的是。
4、 MAXPLUSII中编译VHDL源程序时要求()。
5、 1987标准的VHDL语言对大小写是()。
6、关于1987标准的VHDL语言中,标识符描述正确的是()。
7、符合1987VHDL标准的标识符是()。
8、 VHDL语言中变量定义的位置是()。
9、 VHDL语言中信号定义的位置是()。
10、变量是局部量可以写在()。
11、变量和信号的描述正确的是()。
12、关于VHDL数据类型,正确的是()。
13、下面数据中属于实数的是()。
14、下面数据中属于位矢量的是()。
15、可以不必声明而直接引用的数据类型是()。
16、 STD_LOGIG_1164中定义的高阻是字符()。
17、 STD_LOGIG_1164中字符H定义的是()。
18、使用STD_LOGIG_1164使用的数据类型时()。
19、 VHDL运算符优先级的说法正确的是()。
20、如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。
21、不属于顺序语句的是()。
22、正确给变量X赋值的语句是()。
23、 EDA的中文含义是()。
24、 EPF10K20TC144-4具有多少个管脚()。
25、如果a=1,b=1,则逻辑表达式(aXORb)OR(NOTbANDa)的值是()。
26、 MAX+PLUSII的,数据类型为std_logic_vector,试指出下面那个30、在一个VHDL,数据类型为integer,数据范围0to127,下面哪个赋31、下列那个流程是正确的基于EDA软件的FPGA/CPLD和变量的说法,哪一个是不正确的:()。
33、下列语句中,不属于并行语句的是:()。
34、()在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。
35、不是操作符号它只相当与作用" target="_blank">在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与()作用。
模拟集成电路设计期末试卷word精品
《模拟集成电路设计原理》期末考试一•填空题(每空1分,共14分)1、与其它类型的晶体管相比,MOS器件的尺寸很容易按________ 比例____ 缩小,CMOS电路被证明具有_较低—的制造成本。
2、放大应用时,通常使MOS管工作在_饱和一区,电流受栅源过驱动电压控制,我们定义—跨导_来表示电压转换电流的能力。
3、入为沟长调制效应系数,对于较长的沟道,入值____ 较小 _ (较大、较小)。
4、源跟随器主要应用是起到___电压缓冲器—的作用。
5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成―恒定电流源_。
6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。
7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为—共源共栅电流镜—结构。
&为方便求解,在一定条件下可用—极点一结点关联一法估算系统的极点频率。
9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为—C F(1 - A)__。
10、入为沟长调制效应系数,入值与沟道长度成—反比__ (正比、反比)。
二.名词解释(每题3分,共15分)1、阱解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。
2、亚阈值导电效应解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS<V TH时,I D也并非是无限小,而是与V GS呈指数关系,这种效应叫亚阈值导电效应。
3、沟道长度调制解:当栅与漏之间的电压增大时,实际的反型沟道长度逐渐减小,也就是说, 这种效应称为沟道长度调制。
4、等效跨导Gm6、N 阱:解:CMOS 工艺中,PMOS 管与NMOS 管必须做在同一衬底上,若衬底为 P 型,贝U PMOS 管要做在个N 型的“局部衬底”上,这块与衬底掺杂类型相反的N 型“局部衬底”叫做 N 阱。
《新编实用英语1》期末考试试卷(B卷)
专班级 姓名 学号注意:广州技师学院2014—2015学年度第一学期《英语》期末考试试卷(B 卷)注 意 事 项、Many representatives are in favor of his proposal that a special committee______to ( )、Were set up B 、be set up C 、was set up D 、set up、The pressure _______causes Americans to be energetic, but it also puts them under a ( )、to compete B 、competing C 、to be competed D 、having competed 、As fuel prices rose, bus companies raised their fares ,and______.( ) A 、so did the airlines B 、nither did the airlines C 、so do the airlines D 、neither the airlines did5、________Susan gets onto the top of a tall building, she will feel very much frightened ( )A 、Now thatB 、Ecen thoughC 、Every tiemD 、Only of 6、The day we looked forward to ______at last . ( ) 、come B 、coming C 、came D 、to come7、She got to know the young man very well ______she had worked for so long. ( ) A 、to whom B 、in whom C 、along whom D 、with whom8、—Can ’t you take a few days off from work to go with me to New York ? —Sure .________my way and l ’ll be glad to. A 、pay B 、If I know C 、 Had I known D 、paying9、We were all excited at the news ______our annual sales had more than doubled.( ) A 、which B 、that C 、it D 、what10、This kind of stories _______instructive while stories of that kind _____harmful to children.( )A 、is;seemsB 、are;seemC 、is;seemD 、are;seems二、填空题(用括号内所给词的正确形式填写在题中划线处,每小题2分,共20分)1、Since the introduction of the new technique,the production cost ______(reduce )greatly.2、Could you find someone for me _______(play )tennis with.3、_______(give )that she is inexperienced,she will fail.4、Don ’t take his words seriously,for he is always ______(child ).5、At the beginning he gave all his ______(earn )to his mother.6、 Although i do not share his religious (believe )._______i respect him.7、Jack must (go )________away —We can’t find him anywhere in the factory.8、 I suggested that he (refuse )______the offer proposed by that company.9、To my _______(satisfy ),all the students did well in the examination 10、He said “Good morning ” in a most (friend )______ way.三、翻译(每小题2分,共计20分):1、该书很快跃为畅销书榜首,该书的作者因此获得了一笔对他来说是天文数字的酬金,尽管他尚未达到到其事业的顶峰。
EDA技术期末试卷含答案
一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。
A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条件相或的逻辑电路C.三态控制电路A.QuartusII是Altera提供的FPGA/CPLD集成开发环境D.双向控制电路10.在VHDLB.Altera是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。
A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then MAX+plusIIC.是Altera前一代FPGA/CPLD集成开发环境QuartusII的更C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then11.下列那个流程是正确的基于VerilogD.QuartusII完全支持VHDL、的设计流程EDA软件的FPGA / CPLD设计流程 BA.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试.2以下工具中属于FPGA/CPLD开发工具中的专用综合器的是 BB.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试.Leonardo Spectrum C.Active HDL DQuartusII ModelSim A.B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;以下器件中属于3.Xilinx 公司生产的是 C/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试DMAXB.系列器件.原理图.AispLSI系列器件)语句的语句结构及语法规则语言中,下列对进程(PROCESS D .CXC9500系列器件.FLEX系列器件12.在VHDL 。
A 的描述中,正确的是4.以下关于信号和变量的描述中错误的是 B为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线A PROCESSA B.信号的定义范围是结构体、进程成后,等待下一次进程启动BC.除了没有方向说明以外,信号与实体的端口概念是一致的.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D B .5以下关于状态机的描述中正确的是.当前进程中声明的变量也可用于其他进程 B 型状态机其输出是当前状态和所有输入的函数A.Moore 13.下列语句中,不属于并行语句的是语句B.CASE MooreB.与型状态机相比,Mealy型的输出变化要领先一个时钟周期A.进程语句…语句…ELSE D.WHEN .元件例化语句型状态机其输出是当前状态的函数.CMealy C设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的D.以上都不对14.VHDL 下列标识符中, B 库是不合法的标识符。
2022—2023年人教版八年级数学(下册)期末试卷及答案(完整)
2022—2023年人教版八年级数学(下册)期末试卷及答案(完整) 班级: 姓名: 一、选择题(本大题共10小题,每题3分,共30分)1.已知31416181279a b c ===,,,则a b c 、、的大小关系是( )A .a b c >>B .a c b >>C .a b c <<D .b c a >> 2.已知关于x 的分式方程+=1的解是非负数,则m 的取值范围是( )A .m >2B .m ≥2C .m ≥2且m ≠3D .m >2且m ≠33.若﹣2a m b 4与5a n +2b 2m +n 可以合并成一项,则m-n 的值是( )A .2B .0C .-1D .14.《孙子算经》中有一道题,原文是:“今有木,不知长短.引绳度之,余绳四足五寸;屈绳量之,不足一尺.木长几何?”意思是:用一根绳子去量一根长木,绳子还剩余4.5尺.将绳子对折再量长木,长木还剩余1尺,问木长多少尺,现设绳长x 尺,木长y 尺,则可列二元一次方程组为( )A . 4.5112y x y x -=⎧⎪⎨-=⎪⎩B . 4.5112x y y x -=⎧⎪⎨-=⎪⎩C . 4.5112x y x y -=⎧⎪⎨-=⎪⎩D . 4.5112y x x y -=⎧⎪⎨-=⎪⎩ 5.若关于x 的一元二次方程2(2)26k x kx k --+=有实数根,则k 的取值范围为( )A .0k ≥B .0k ≥且2k ≠C .32k ≥D .32k ≥且2k ≠ 6.如图,正方形ABCD 和正方形CEFG 边长分别为a 和b ,正方形CEFG 绕点C 旋转,给出下列结论:①BE=DG ;②BE ⊥DG ;③DE 2+BG 2=2a 2+2b 2,其中正确结论有( )A .0个B .1个C .2个D .3个7.对某市某社区居民最爱吃的鱼类进行问卷调查后(每人选一种),绘制成如图所示统计图.已知选择鲳鱼的有40人,那么选择黄鱼的有()A.20人B.40人C.60人D.80人8.下列图形中,不是轴对称图形的是()A.B.C.D.9.如图,五边形ABCDE中有一正三角形ACD,若AB=DE,BC=AE,∠E=115°,则∠BAE的度数为何?()A.115 B.120 C.125 D.13010.如图,直线a∥b,将一个直角三角尺按如图所示的位置摆放,若∠1=58°,则∠2的度数为()A.30°B.32°C.42°D.58°二、填空题(本大题共6小题,每小题3分,共18分)1.8-的立方根是__________.21a+8a=__________.3.若214x x x++=,则2211x x ++= ________. 4.如图,△ABC 中,∠BAC =90°,∠B =30°,BC 边上有一点P (不与点B ,C 重合),I 为△APC 的内心,若∠AIC 的取值范围为m °<∠AIC <n °,则m +n =________.5.如图,O 为数轴原点,A ,B 两点分别对应-3,3,作腰长为4的等腰△ABC ,连接OC ,以O 为圆心,CO 长为半径画弧交数轴于点M ,则点M 对应的实数为__________ .6.如图,在Rt △ABC 中,∠ACB=90°,AC=5cm ,BC=12cm ,将△ABC 绕点B 顺时针旋转60°,得到△BDE ,连接DC 交AB 于点F ,则△ACF 与△BDF 的周长之和为_______cm .三、解答题(本大题共6小题,共72分)1.解方程(1)2250x x --= (2)1421x x =-+2.先化简,再求值:a 3a 2++÷22a 6a 9a -4++-a 1a 3++,其中50+-113⎛⎫ ⎪⎝⎭2(-1).3.已知22a b -=,且1a ≥,0b ≤.(1)求b 的取值范围(2)设2m a b =+,求m 的最大值.4.如图,在ABC 中,ACB 90∠=,AC BC =,D 是AB 边上一点(点D 与A ,B 不重合),连结CD ,将线段CD 绕点C 按逆时针方向旋转90得到线段CE ,连结DE 交BC 于点F ,连接BE .1()求证:ACD ≌BCE ;2()当AD BF =时,求BEF ∠的度数.5.如图,△ABC 中,AB=AC ,∠BAC=90°,点D ,E 分别在AB ,BC 上,∠EAD=∠EDA ,点F 为DE 的延长线与AC 的延长线的交点.(1)求证:DE=EF ;(2)判断BD 和CF 的数量关系,并说明理由;(3)若AB=3,AE=5,求BD 的长.6.学校需要添置教师办公桌椅A 、B 两型共200套,已知2套A 型桌椅和1套B 型桌椅共需2000元,1套A 型桌椅和3套B 型桌椅共需3000元.(1)求A ,B 两型桌椅的单价;(2)若需要A型桌椅不少于120套,B型桌椅不少于70套,平均每套桌椅需要运费10元.设购买A型桌椅x套时,总费用为y元,求y与x的函数关系式,并直接写出x的取值范围;(3)求出总费用最少的购置方案.参考答案一、选择题(本大题共10小题,每题3分,共30分)1、A2、C3、A4、B5、D6、D7、D8、A9、C10、B二、填空题(本大题共6小题,每小题3分,共18分)1、-22、13、84、255.56、42.三、解答题(本大题共6小题,共72分)1、(1)1211x x ==(2)3x =是方程的解.2、-33a +,;12-.3、(1)102b -≤≤;(2)2 4、()1略;()2BEF 67.5∠=.5、(1)略;(2略;(3)BD=1.6、(1)A ,B 两型桌椅的单价分别为600元,800元;(2)y=﹣200x+162000(120≤x ≤130);(3)购买A 型桌椅130套,购买B 型桌椅70套,总费用最少,最少费用为136000元.。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
班级学号姓名
密封线内不得答题
EDA期末考试试题及答案
一、单项选择题(30分,每题2分)
1.
A.
B
C
D.通常,EDAL
配器则需由
2.VHDL
A.器件外部特性
C
3.下列标识符中, B 是不合法的标识符。
A.State0 B.
4.以下工具中属于
A.ModelSim
C.MATLAB
5.
A.立即完成
C.在进程的最后完成
6.以下关于CASE
A.CASE
B.
句>”
C.CASE
D.WHEN
围
7.
A.STD_LOGIC_ARITH
B.STD_LOGIC_1164
C.
D.
8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →
综合→适配→时序仿真→编程下载→硬件测试。
A.功能仿真B.逻辑综合C.配置D.引脚锁定
9.不完整的IF语句,其综合结果可实现 D
A.三态控制电路B.条件相或的逻辑电路
C.双向控制电路D.时序逻辑电路
10.下列语句中,属于并行语句的是A
D.FOR语句
示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。
FPGA / CPLD的基本
系列器件
系列器件
语言中,下列对时钟边沿检测描述中,错误的是 D
班级
学号 姓名 密 封
线 内 不 得 答 题
二、EDA 名词解释,写出下列缩写的中文含义(10分,每题2分)
1.FPGA :现场可编程门阵列
班级学号姓名
密封线内不得答题1.试用VHDL描述一个外部特性如图所示的数据选择器,S为控制端口。
(10
分)
Library IEEE;
Use IEEE.std_logic_1164.all;
Entity sjxz IS
Port(A,B,S:in std_logic;
Q:out std_logic);
END entity sjxz;
Architecture bhv of sjxz IS
Process(S)
Begin
IF S=’0’ Then Q<=A;
ELSE Q<=B;
END IF;
END PROCESS’
END bhv;
2.下图为某一状态机对应的状态图,试用VHDL语言描述这一状态机。
(18分)
1
Library IEEE;
Use IEEE.std_logic_1164.all;
Use IEEE.std_logic_unsigned.all;
Entity FSM1 IS
PORT(clk,rst:in std_logic;
In1:in std_logic;
Out1:out std_logic_vector(3 downto 0));
END entity FSM1;
Architecture bhv of FSM1 IS
TYPE FSM_ST IS(S0,S1,S2,S3);
Singnal C_ST:FSM_ST;
Begin
Process(clk,rst)
Begin
IF rst=’1’ then C_ST<=S0;
ELSIF clk’event AND clk=’1’then
CASE C_ST IS
When S0=>IF In1=’1’ then C_ST<=S1;
ELSE C_ST<=S0;
END IF;
Out1<=”0000”;
When S1=>IF In1=’0’ then C_ST<=S2;
ELSE C_ST<=S1;
END IF;
Out1<=”1001”;
When S2=>IF In1=’1’ then C_ST<=S3;
ELSE C_ST<=S2;
END IF;
Out1<=”1100”
;
When S3=>IF In1=’0’ then C_ST<=S0;
ELSE C_ST<=S3;
END IF;
Out1<=”1111”;
END CASE;
END IF;
END process;
END bhv;。