3分频器的设计
三分频电路设计
三分频电路设计你们有没有见过那种能把一种东西分成好几部分的小发明呀?就像把一个大蛋糕切成三块一样,三分频电路呢,就是把电信号分成三个不同部分的神奇电路。
我给你们讲个故事吧。
有一次我在听音乐,发现音响里发出来的声音有高音、中音和低音,特别好听。
后来我才知道,这里面就可能用到了类似三分频电路的东西。
就好比有三个小伙伴在唱歌,一个小伙伴负责高音部分,声音清脆响亮,像小鸟在枝头欢快地叫;一个小伙伴负责中音部分,声音圆润动听,就像我们平常说话那样舒服;还有一个小伙伴负责低音部分,声音低沉有力,就像是大笨钟敲响时的那种嗡嗡声。
而三分频电路就像是一个聪明的指挥家,把电信号按照不同的高低音要求,准确地分配给不同的“小歌手”,这样我们听到的音乐才会这么美妙。
那这个三分频电路是怎么做到的呢?咱们可以想象一下电路就像一条条小马路,电信号就是在路上跑的小汽车。
在三分频电路里,有一些特殊的“交通标志”和“岔路口”。
这些“交通标志”和“岔路口”就像一个个小关卡,它们可以让一部分电信号走这条“路”,另一部分走另一条“路”。
比如说,有一个“关卡”专门拦住那些高音的电信号,让它们从一条特定的“小路”通过,这就成了高音部分的电路;还有一个“关卡”拦住低音的电信号,让它们从另外的“小路”走,就形成了低音部分的电路;剩下的那些电信号就成了中音部分的电路啦。
咱们再举个例子吧。
假如我们有一个装满彩色珠子的盒子,我们想把珠子按照颜色分成三堆,红色一堆、蓝色一堆、绿色一堆。
我们可以用一些有小缝隙的板子,缝隙的大小刚好能让一种颜色的珠子通过,这样就能把珠子分开啦。
三分频电路里的那些小元件就像是这些有缝隙的板子,把不同的电信号分开。
虽然三分频电路听起来有点复杂,但是只要我们发挥想象,把它想象成生活里熟悉的东西,就会觉得很有趣。
而且它在我们生活中的很多地方都能用到呢,就像我们的音响、收音机这些能发出不同声音的东西里面,可能都藏着这个神奇的三分频电路。
三分频功率分配
三分频功率分配三分频功率分配是一种常见的电路设计技术,用于将输入信号分成三个频率相等的输出信号。
在无线通信系统、射频信号处理、雷达系统等领域中经常会用到三分频功率分配器。
三分频功率分配器的设计主要基于功分器的原理。
功分器是一种能够将输入功率均匀分配到多个输出端口的器件,常用的功分器包括匹配变压器功分器、微带线功分器等。
在三分频功率分配器的设计中,需要将输入信号分成三等分,并且保持相位平衡和功率平衡。
一种常见的三分频功率分配器设计是采用匹配变压器功分器。
匹配变压器功分器由一个输入端口和多个输出端口组成,通过合适的变压器设计可以实现功率的均匀分配。
在设计匹配变压器功分器时,需要考虑变压器的匹配网络、阻抗匹配、相位平衡等因素。
另一种常见的三分频功率分配器设计是采用微带线功分器。
微带线功分器通过设计合适的微带线结构和阻抗匹配网络,可以实现输入功率的分配。
在设计微带线功分器时,需要考虑微带线的特性阻抗、长度、宽度等因素,以保证功率的分配均匀。
除了匹配变压器功分器和微带线功分器,还可以采用分支线功分器、分束功分器等设计方式实现三分频功率分配。
这些功分器的设计原理各有特点,需要根据具体的应用需求选择合适的设计方案。
在实际应用中,三分频功率分配器通常用于分配射频信号、微波信号等高频信号。
通过合理设计功分器的结构和参数,可以实现功率的均匀分配,保证系统的性能稳定和可靠。
总的来说,三分频功率分配器是一种常见的电路设计技术,应用广泛于无线通信、雷达系统、射频信号处理等领域。
设计三分频功率分配器需要考虑功分器的类型、结构、匹配网络等因素,以实现输入功率的均匀分配。
在实际应用中,需要根据具体的系统需求选择合适的功分器设计方案,以保证系统的性能和稳定性。
Hi-Fi三路有源分频器
Hi-Fi三路有源分频器明日一日路有源分频器此立体声三路有源分频器与三路扬声器系统配用,可避免无源分频网络的缺点,使扬声器获得最佳的功率电平.什么是有源分频器?为什么需要有源分频器绝大多数H1~F1高保真音响爱好者都知道分频.即在2路和3 路扬声器系统中均含有一种无源网络.用其将音频频谱分为二个频段(对于2路扬声器系统)或三个频段(对于3路扬声器系统).无源分频器用电感,电容和电阻将音频分成各个频段,并将相应的音频信号电平馈送到各个扬声器驱动级.例如,低音扬声器通常比中音和高声扬声器的灵敏度低,所以,输入中音和高音扬声器的信号需作相应衰减,这样,三个扬声器的整体输出才相同.在较高档的扬声器系统中,分频器通常很复杂,要求也很高.因常要衰减中音器的功率.此口陈伟鑫间的一个复杂网络,这就意味着有一定的插入损耗.而这对于大家都需要的低音影响会很大,对中音和高音能清晰地播放,其影响也较为明显.凡此种种,都是因为采用了无源的方案.在.有源系统中,省掉了无源分频器,并用电子学的方法将左,右声道各自分为三个频段:低音,中音和高音.这就是.有源分频器要完成的任务.有源分频器的输出信号馈入六个独立的放大器.以驱动每个音箱中的低音,中音和高音扬声器单元.整个系统的构成如图l所示.总之,这里要比常见的系统多用几个放大器.但也给你带来更大的灵活性.更为有利的是.你可以得到一个性能更佳,功率更大的音响系统.有源分频法也意味,日B1^H-汉L1个u/b1OIlIJ上=i?/.1毋高通和高音信号.实际上就意味着要浪费放大厂卜功放卜_.外,无源分频器是插在扬声器和放大器之带通厂-l\功放卜_.口=低通LL——,I功放卜CD机或其他_—前置放大器,I立体声信号源及控制单元高通R.R,-一II功放卜II带通几卜功放卜低通__,卜_功放卜口=2006年第7期3路分频器左声道高音左声道中音左声道低音右声道高音右声道中音低音三图2三路有源分频器信号处理电路(左声道)着你可以在同一系统中混用4Q和8Q扬声器,电平匹配很容易.没有功率浪费.一,有源分频器这里介绍的有源分频器可装入一个单独的机箱内,其面板上只有电源开关.此分频器未设用户控制,既没有用来改变分频频率的开关.也没有输出信号的外部电平控制.要改变对扬声器的激励,就必须调整驱动放大器的音量控制.在后面板上,有四对RCA插口,一对用于立体声信号输入.另外三对则分别用于立体声的低音,中音和高音信号的输出.后面板上还有一个NEC电源插座和一个接在电源变压器初级电路的保险丝盒.在机箱内.全部电路均装在一块尺寸为219mmX99mm的印刷电路板上.一组RCA输入和输出插座亦装在其上.唯一的外部接线是环形电源变压器的次级与电路板的连接线.二,电路介绍分频器电路如图2.由于左,右两声道电路相同.这里仅考虑左声道.电源电路也装在印刷电路板上.如图3N示.总的看来,左声道用了12个运算放大器,即三个左声道左声道中音输出左声道低音输出TL074(四FET输入运放集成块).IC1a,IC1b,IC5a和IC5b用作输入或输出缓冲器,而其余8个运放则用作L1nkwr1te—Ri1ey有源滤波器,此滤波器的斜率为l2dB/倍频程.在每~种情况下.都由两个12dB/倍频程滤波器串联.以给出总的滤波器斜率24dB/倍频程.这比无源分频器通常所用的斜率要陡得多.所有这些滤波器的通带内电压增益为1.三,低通,高通在作进一步探讨之前.这里将对几个初学者常会发生混淆的术语加以说明.即所谓低通,高通和带通.一个低通滤波器允许低频信号通过.而阻止较高频率的信号.因此,一个驱动低音扬声器的电路通常称为低通滤波器.因为这类电路的驱动信号频率约低于2OOHZ.类似地.一个高通滤波器只允许高频信号通过,而阻止低频信号通过.因此,馈送信号至高音扬声器的这部分分频网络则称为高通滤波器,即使其组成仅仅是一个电容器.如果将一个高通滤波器和一个低通滤波器串联,则其组合将只允许一个频带内的信号通过,因此,我们称其为带通滤波器.在这里的有源分频网络中,带通滤波2oo6年第7期器用于中频输出.我们还应当了解滤波器术语中的截止频率和滤波器斜率.本电路所用的滤波器具有12dB/倍频程的衰减:这就是滤波器的斜率.截止频率是信号输出较额定电平小3dB处的频率.例如,在一个低通滤波器中,可以有一个1kHZ的截止频率(一3dB点),而从此处起,滤波器的斜率为12dB/倍频程.在理论上,这就意味着在2kHz处(即是1kHz的一个倍频)频率响应为一15dB在实际中可能没有这么精确.此电路中,所用的滤波器为L1nkwr1te-Ri1ey结构,且用了八个这类的滤波器,四个是高通,四个是低通,这仅仅是一个声道.每个滤波器由一个接成电压跟随器的运放和前置的两个RC网络共同构成.前已指出,对于每个高通和低通滤波器.均用2个l2dB/倍频程的滤波器串联而成,使其总的衰减为24dB/倍频程(4阶).基本滤波器的结构如图4所示.图中还给出了分频点的计算公式.在此处特定情况下.分隔频率在-6dB点,其原因是本设计中每级滤波电路由两个滤波器级联而成(2x3dB=6dB).注意,在低通滤波器中图示的电容值为C和2C.而在高通滤波器中,所用的电阻的阻值为R和2R(参见图4).在图2所示主电路中.可以注意到2C元件实际上是两个等值电容的并联,这是因为很难找到一个电容器,其值正好是另一个电容的两倍.而在另一方面.找电阻要容易得多,所以R用的是10kQ电阻,2R用的是20kQ 电阻.现在让我们再回来讨论图2的电路.到左声道的输入信号是经由一RC滤波器馈入的.该滤波器的滚降频率为100kHZ,然后,信号进入运放IC1a,这里IC1a被接成单位增益缓冲器(又称电压跟随器).IC1a的输出推动两个由IC1d和IC1C级联而成的低通滤波器.以及由IC3a和IC3d级联而成的两个低通滤波器.这里的低通和高通滤波器的截止频率均设定在5.1kHZ.第二个高通滤波器的输出(IC1C)馈至电平控制器VR1,然后再送至IC1b,而IC1b被接成增益为2的同相放大器.IC1b提供左声道的高音输出.因此,高音扬声器仅得到高于5kHz的频率.四,带通低通滤波器IC3d的输出馈至由IC3c和IC3b构成的高通滤波器,这两个高通滤波器的截止频率均为239HZ.高通滤波器IC3b的输出则馈至音量控制微调电位器VR2,然后进入增益为2的运放IC5a.这样就获得了左声道的中频段输出激励.其频带范围为239HZ至5.1kHZ.由图2可知,运放IC3d不但驱动高通滤波器IC3c和IC3b,同时还驱动由IC5d和IC5c构成的串联低通滤波器,而这两个低通的截止频率也是239HZ.IC5C的输出加至微调电位器VR3,然后又馈入增益为2的运放IC5b. 这样就得到了频率低于239Hz的低音左声道输出信号. 各级的所有输出在分频点上是同相的.在交叉频率处的电压增益各级均为一6dB(即为基准电平的一半). 因此,当高,中,低三频段的频响曲线加在一起时,即可获得总增益为1的极其平坦的频率响应.由图5N示的频响曲线可以看出此有源分频器的性能是多么良好.图中画出了三种滤波器(低通,高通和带通)的频响曲线,顶端便是总频响曲线.图8给出了相应的加法电路,仅供有兴趣的读者参考.图3所示为电源电路,这里用了一个20V A的环形电源变压器,二个次级的输出均为15V.双15V输出用来驱动桥式整流器(D1~D4),再经两个1000F的电容滤波,就获得了未经稳压的直流双电源,其值约为±22V.接着又馈入稳压集成块REG1和REG2.以产生稳定的双二r一.一一l叶.,叶VV叶一lIkInlJTl一一一lLI—TIII1I'I…CND…l'固:中:}15V:1O00pF1OOpF一100nFx7+15V.25,x225VWx2一..GNDlNI:}15V'-上'L上一I100nFx7II—一0rl...…1.一1二二__J1一l'',uu0l一一一一20o6年第7期图电源电路—————————————1■————————————一R..卜……辞滤波器Od8m10.Oo00.0—10.0o一-20.0o馏霉-30.0o—4J0.0o-50.0o通滤波器图4低通和高通痣波器基本结构月I)总-牲,,一一,,{::-●--)l'|..I\\|l.|'I\|I1/~I\『J/1『/r1Il高互\确匝/酗互l●J1010o1k]Ok10ok频率(1{z】图5三个滤波器的频响曲线总特性十分平坦电源±15V.每路电源均有一个1OOp.F电容器和7个100nF多层陶瓷电容器构成的旁路电容.这些电容器均安装在印刷电路板上.五,制作如前所述.此有源分频器的全部电路均安装在一块尺寸为219mmx99mm的单面印刷电路板上,因此,电路结构简单直观.但若你希望自行调整交叉频率,电路会稍复杂.如需这样做,可从表1中选取相应的元件值. 例如.如果你决定将高音交叉频率选在3kHz左右,则查表1,从表的右边一列可得310O的交叉频率,再从第1,第2列得R和C的值.实际上要改动的就是电容和电阻.即在高通和低通滤波器中与IC1和IC3并联的2.2I'IF电容器,现在必须改为3.3I'IF,而相关的10kQ电阻则需增加到11kQ.20kQ增加到22kQ.注意.与高音扬声器配用的高通滤波器(IC3a和IC3d)和与中频扬声器配用的低通滤波器(IC3a和IC3d)必须有绝对相同的截止频率,否则就不能获得完全平坦的频率响应.类似地.如果需要将低音截止频率改到约35OHz,则可由表1右边第3列查得最接近的347Hz,然后由第1列和第3列相应查得R的数值为12kQ,2R为24kQ,由第2列得C的值为27i'iF.当然.你也可以参考有关资料或教科书自行设计计算.当交叉频率已经决定后,即可开始装配,首先检查印刷电路板,仔细查看板上电路有无短路,开路等.检查时应对照图6给出的印刷电路板装配图.然后安装全部电阻,接着安装电容器和多圈微调电位器.应保证电解电容按正确的定位进行安装.双极电解电容无极性,可按任一方向安装.在理想条件下.全部滤波器电路都用精度为1%的电容.如有困难,可以取出100个所需值的电容.然后用一电容表.或万用表的电容挡,选取20个最接近标称值的电容.二个直流稳压器可平放在印刷电路板上.但需注意不能互换,否则,可能使其损坏.最后,安装运放和RCA插座.至此,电路板安装完工.现在,即可接线至电源变压器,并装箱,具体可参阅图7.要特别注意电源接线,全部接线要加热缩套管,并用电缆夹固定引线,具体如图所示.另外,保险丝盒必须用市电保安型的.六,电压检查接下来可将印刷电路板暂时装入机箱,加电,用数字万用表检查已稳压的双电源.其值应为±15VDC.再检查每个TL074的引脚4,其上应有±15V,而每个集成块的儿脚应有一15V.现在可轻触每个集成块,看其是否发热——应当全是冷的.2006年第7期图6元件配置图下一步是用各个微调电位器来调整整个电路.调整过程很简单.只需将每个输出级在其通带内的增益调至1(即单位增益).这可以在三个频率处进行,例如,低音在100Hz,中音在1kHz.而高音则在12kHz.这时.需要有一个音频振荡器,还要一个交流频响达20kHz或更高的数字万用表.将音频振荡器接至一个声道的RCA输入连接器.其频率设定为100Hz,1kHz或12kHz,具体取决于要调试的频段.振荡器的输出电平设定为1Vs.现在测量所调试级输出端上的电平.对于高音输出,用10kHz,并调节微调电位器VR1(左声道)或VR4(右声道),使在输出端子上获得1V的信号电平.类似地,对于中音(中频段),用1kHz信号,调VR2(左声道)或VR5(右声道),使其输出端子上获得1Vs的信号电平.最后,对于低音,用100Hz,并调VR3(左声道)或VR6(右声道).调试输出电压完毕后,现在要做的就是整理机箱内部接线.准备将本装置接至放大器.七,功率放大器我们的H1一F1三路有源分频器现在已经制作完成了(见题图),要真正体验其实际效果必须与功率放大器配用,这里需要六个放大器,高音,中音,低音各一个,因为是立体声,为双声道,所以还得乘2.那么,究竟需要用什么样的功率放大器呢典型的情况是,低音放大器所需的功率为中音和高音放大2006年第7期带指示的电源开关图7接线图高音输人中音输入低音输入图8加法器电路输出器输出功率的二倍.为什么呢原因很简单,因为低音扬声器的灵敏度比较低.所以,如果需要为你的三路扬声器系统每个声道配置IOOW~,则还需为低音扬声器配置两个1OOW放大器(一个声道一个),当然,你也可以将两个为中音和高音配置的功率放大器改为5OW.这样,撤下的放大器可以用于维修,随时可以替换功率不足"的现场放大器.八,接入系统本装置可以方便地接入音响系统.其步序很简单,首先将3路有源分频器的立体声输出接至相应的低音,中音和高音立体声放大器的输入端,然后将各放大器的输出直接接至音箱内相应的各个扬声器.当然,在音箱内原有的无源分频网络必须拆开,同时,在音箱背面另外再装两组接线端子. 所有放大器的音调控制十分平整(虽然对高,中,低音调的嗜好不尽相同, 但高音控制决不会过多影响低音放大器,低音控制也决不会影响高音放大器).最后.音量控制可以分别调节,以获得低,中,高扬声器之间的最佳平衡.附:技术特性电压增益:1(单位增益)频率响应:10Hz至20kHz频段内为±1%(见图5)滤波器衰减斜率:24db/倍频程总谐波失真:在1V输出时为0.003%(典型值)信噪比:对于1V输出在22Hz至22kHz频段内,未加权时为-94dB声道隔离:在10Hz至20kHz频段内,通常好-t--lOOdB输入阻抗:47kQ输出阻抗:小-t-200~囫表1:R,C值RC2R交叉频率(kQ)(nF)(kQ)(Hz)15473O16O15393O1921247242OO11472221815333O2271O472O23912392424O15273O278 1233242841O392O289 11332231O 7.54715319 15223O341 1O332O341 122724347 112722379 7.53915385 1O272O417 122224426 7.53315455 1122224651O222O512 7.52715556 7.52215682 154.73O1596 153.93O1924 124.7241995 114.7222177 153.33O2274 1O4.72O2394 123.9242405 113.9222623 152.73O2779 123.3242842 1O3.92O2886 113.32231OO152.23O3410 1O3.32O3410 122.7243473 112.7223789 7.53.9153848 1O2.72O4168 122.2244263 7.53.3154547 112.222465O 1O2.22O5115 7.52.7155558 7.52.2156821 2o嘶年第7期\_●L。
主动三分频喇叭切频参数
主动三分频喇叭切频参数
主动三分频喇叭是指利用分频器将音频信号分成低、中、高三个频段,由三个独立的单元分别承担播放各自所负责的频率范围。
正确设置切频点对获得平衡、动态以及细腻的音质至关重要。
一般而言,主动三分频喇叭的切频参数可设置如下:
1. 低音单元与中音单元的交叉频率(低音切频点):一般设置在200Hz~500Hz之间。
过低会使中音单元承受过大功率;过高会丢失部分低频细节。
2. 中音单元与高音单元的交叉频率(高音切频点):一般设置在2kHz~5kHz之间。
过低会使高音单元承受过大功率;过高会使高频细节变差。
3. 分频器的陷波特数:通常低音和中音单元采用12~24dB/oct,高音单元采用12~18dB/oct。
陷波特数过高,会导致分频点附近的频率失真。
4. 时间对准:为了确保各单元的声波在聆听者处到达时间一致,需要对不同单元进行时间延迟补偿。
切频参数的选择还需结合喇叭单元的性能参数、箱体结构以及实际应用场合等因素综合考虑。
合理的参数设置可确保主动三分频系统拥有优异的解析力、动态响应及平直的频率特性。
3分频器的设计
三分频器的设计时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。
图1:图形设计VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fen3 isport(clkin : in std_logic; --时钟输入qout1 : buffer std_logic;qout2 : buffer std_logic;qout3 : buffer std_logic;clkout : out std_logic --占空比为1/2的三分频输出);end fen3;architecture behave of fen3 isbeginqout3<=qout1 nor qout2;process(clkin)beginif clkin'event and clkin='1' then --在上升沿触发qout1<=qout3;end if;end process;process(clkin)beginif clkin'event and clkin='0' then --在下降沿触发qout2<=qout3;end if;end process;process(qout3)variable tem:std_logic;beginif qout3'event and qout3='1' then --二分频tem:=not tem;end if;clkout<=tem;end process;end behave;图3:仿真结果方法二:设计两个占空比为1/3的三分频器,分别在时钟输入端的上升沿和下降沿触发,然后两个分频器的输出接一个或门,得到占空比为50%的三分频波形。
3分频电路
图2是3分频电路,用JK-FF实现3分频很方便,不需要附加任何逻辑电路就能实现同步计数分频。
但用D-FF实现3分频时,必须附加译码反馈电路,如图2所示的译码复位电路,强制计数状态返回到初始全零状态,就是用NOR门电路把Q2,Q1=“11B”的状态译码产生“H”电平复位脉冲,强迫FF1和FF2同时瞬间(在下一时钟输入Fi的脉冲到来之前)复零,于是Q2,Q1=“11B”状态仅瞬间作为“毛刺”存在而不影响分频的周期,这种“毛刺”仅在Q1中存在,实用中可能会造成错误,应当附加时钟同步电路或阻容低通滤波电路来滤除,或者仅使用Q2作为输出。
D-FF的3分频,还可以用AND门对Q2,Q1译码来实现返回复零。
(责任编辑:admin)。
最新三分频扬声器系统分频器电感的精确设计
三分频扬声器系统分频器电感的精确设计三分频扬声器系统分频器电感的精确设计1 引言扬声器系统的分频器分为前级分频和功率分频2类。
前级分频是前级电路中由电子元件产生的分频,再由各自的功放分别驱动高﹑中﹑低音扬声器系统,如图(1a)所示,属于小信号有源分频。
而功率分频则是由电感、电容、电阻元件构成的位于功放与扬声器之间的无源分频电路,如图(1b)所示。
采用功率分频的扬声器系统结构简单、成本低,而且又能获得很高的放音质量,因而在现代高保真放音系统中应用最为普遍。
其性能的好坏与扬声器的各项指标以及分频电路、电感元件的性能、精度有密不可分的关系,精确计算电感参数便是成功的关键。
2 对分频器电路、元件的要求(1)电路中电感元件直流电阻、电感值误差越小越好。
而且为使频响曲线平坦最好使用空心电感。
(2)电路中电容元件损耗尽可能小。
最好使用音频专用金属化聚丙烯电容。
(3)使各扬声器单元分配到较平坦的信号功率,且起到保护高频扬声器的作用。
(4)各频道分频组合传输功率特性应满足图2所示特性曲线的要求(P0为最大值,P1为对应分频点f1、f2的值)。
分频点处的功率与功率最大值之间幅度应满足P1(=0.3~0.5)P0的范围。
(5)整个频段内损耗平坦,基本不出现“高峰”和“深谷”。
3 分频电感电容参数值的计算下面以三分频分频器为例说明其参数的计算,如图3所示。
1)计算分频电感L1,L2,L3,L4和分频电容C1,C2,C3,C4。
为了得到理想的频谱特性曲线,理论计算时可取:C1=C4,C3=C2,L1=L3,L4=L2,分频点频率为f1,(f2见图2),则分频点ω1=2πf0,ω2=2πf2。
并设想高、中、低扬声器阻抗均相同为RL。
每倍频程衰减12 dB。
2)实验修正C1,C2,C3,C4,L1,L2,L3,L4的值为精确起见,可用实验方法稍微调整C1,C2,C3,C4,L1,L2,L3,L4的值,以满足设计曲线﹙见图2﹚的要求。
分频器的设计原理
分频器的设计原理
分频器的设计原理是将输入信号分成多个具有不同频率的输出信号。
通过使用不同的电路和技术,可以将输入信号分频为两个或更多个频率不同的输出信号。
常见的分频器设计原理包括以下几种:
1. 分频器基于计数器:通过使用计数器电路,将输入信号的频率除以一个固定的整数值,从而获得分频后的输出信号。
计数器经过一定的计数周期后重新开始计数,实现分频功能。
2. 分频器基于锁相环(PLL):锁相环是一种反馈控制系统,通过将输入频率与参考频率进行比较,并不断调整输出频率,使其与输入频率相同或成比例,从而实现分频功能。
3. 分频器基于频率合成器:频率合成器是一种电路,可以将不同的频率合成为所需的频率。
通过设置合适的频率合成比例,可以实现输入信号的分频。
4. 分频器基于滤波器:滤波器可以选择性地通过或屏蔽特定频率范围的信号。
通过设计适当的滤波器,可以将输入信号的特定频率分离出来作为输出信号。
以上是一些常见的分频器设计原理,不同的应用场景可能采用不同的设计原理。
分频器广泛应用于无线通信、音频处理、数字信号处理等领域。
分频器的设计
学号:课程设计题目分频信号发生器的分析与设计学院自动化学院专业电气工程及自动化班级姓名指导教师月日课程设计任务书学生:专业班级:题目:分频信号发生器的分析与设计要求完成的主要任务:〔包括课程设计工作量及其技术要求,以及说明书撰写等具体要求〕1. 设:有一输入方波信号f0〔<1MHz〕。
要求输出信号:f1=f0/N,N通过键盘输入。
2. 画出简要的硬件原理图,编写程序。
3. 撰写课程设计说明书。
容包括:摘要、目录、正文、参考文献、附录〔程序清单〕。
正文局部包括:设计任务及要求、方案比较及论证、软件设计说明〔软件思想,流程,源程序设计及说明等〕、程序调试说明和结果分析、课程设计收获及心得体会。
时间安排:12月26日----- 12月28 日查阅资料及方案设计12月29日----- 01 月0 2日编程01月03日-----0 1月07 日调试程序01月08日----- 01月09日撰写课程设计报告. -指导教师签名: 年月日系主任〔或责任教师〕签名: 年月日目录1设计任务及要求11.1设计任务11.2设计要求12.分频信号发生器原理22.1系统原理框图的设计22.2分频器原理说明33.系统方案设计与论证33.1方案一:基于51单片机的分频器设计33.1.1 51单片机最小系统设计33.2方案二:基于8086CPU的分频器的设计63.2.1 8086CPU简介63.2.2 8255并行I/O 芯片83.2.3 8253计数器83.3方案比较与选择104.软件设计114.1 软件流程图114.2源程序11总结体会16参考文献17附录17摘要利用89C51的计数功能,按输出要求,通过计数功能实现分频的功能。
采用这种方法,简单实用。
原理相对简单,可操作性强。
其中还简单的介绍了如何利用8253实现分频的功能。
通过比照介绍,突出利用89C51实现分频器的优越性。
最优设计方案为外部信号源将信号送给51单片机计数输入引脚T0(P3.4),通过设置部的16进制计数器的计数初值来到达计数分频的效果,当计数器计满后产生中断,通过I/O产生上下电平来模拟产生方波信号,到达了预期分频的效果。
50%占空比三分频器地设计方法
50%占空比三分频器的设计方法(原创)浏览次数:1037 添加时间:2006-04-17 20:47:01本文主要介绍了50%占空比三分频器的三种设计方法,并给出了图形设计、VHDL设计、编译结果和仿真结果。
设计中采用EPM7064AETC44-7 CPLD,在QUARTUSⅡ4.2软件平台上进行。
方法一:时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。
图1:图形设计VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fen3 isport(clkin : in std_logic; --时钟输入qout1 : buffer std_logic;qout2 : buffer std_logic;qout3 : buffer std_logic;clkout : out std_logic --占空比为1/2的三分频输出 );end fen3;architecture behave of fen3 isbeginqout3<=qout1 nor qout2;process(clkin)beginif clkin'event and clkin='1' then --在上升沿触发qout1<=qout3;end if;end process;process(clkin)beginif clkin'event and clkin='0' then --在下降沿触发qout2<=qout3;end if;end process;process(qout3)variable tem:std_logic;beginif qout3'event and qout3='1' then --二分频 tem:=not tem;end if;clkout<=tem;end process;end behave;图2:编译结果图3:仿真结果方法二:设计两个占空比为1/3的三分频器,分别在时钟输入端的上升沿和下降沿触发,然后两个分频器的输出接一个或门,得到占空比为50%的三分频波形。
分频器的设计取决于什么?
分频器的设计取决于什么?
文章来源:
分频器的设计主要取决三个因素:
(1)扬声器额定阻抗
(2)分频点频率
一般两频道分频器,分频点可在800~3000赫之间选取;三频道分频器的第一分频点可在300~500赫之间选取,第二分频点可在3000~5000赫之间选取。
选取分频点时应注意两点:一是在分频点处,两个扬声器同时都处在良好的工作状态,即在分频点处的频响曲线应器同时都处在良好的工作状态,即在分频点处的频响曲线应平直;二是在分频点处两者衔接要平滑、自然。
其频响曲线不应出现明显的“峰”和“谷”。
(3)衰减率
所谓衰减率,是指扬声器在分频点以下,扬声
器的频响曲线随频率变化而下降的斜率,以每倍频程下降的分贝数来表示。
50%占空比三分频器的设计方法.
50%占空比三分频器的设计方法(原创)浏览次数:1037 添加时间:2006-04-17 20:47:01本文主要介绍了50%占空比三分频器的三种设计方法,并给出了图形设计、VHDL设计、编译结果和仿真结果。
设计中采用EPM7064AETC44-7 CPLD,在QUARTUSⅡ4.2软件平台上进行。
方法一:时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。
图1:图形设计VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fen3 isport(clkin : in std_logic; --时钟输入qout1 : buffer std_logic;qout2 : buffer std_logic;qout3 : buffer std_logic;clkout : out std_logic --占空比为1/2的三分频输出);end fen3;architecture behave of fen3 isbeginqout3<=qout1 nor qout2;process(clkin)beginif clkin'event and clkin='1' then --在上升沿触发qout1<=qout3;end if;end process;process(clkin)beginif clkin'event and clkin='0' then --在下降沿触发qout2<=qout3;end if;end process;process(qout3)variable tem:std_logic;beginif qout3'event and qout3='1' then --二分频tem:=not tem;end if;clkout<=tem;end process;end behave;图2:编译结果图3:仿真结果方法二:设计两个占空比为1/3的三分频器,分别在时钟输入端的上升沿和下降沿触发,然后两个分频器的输出接一个或门,得到占空比为50%的三分频波形。
分频器设计教程
分频器设计教程分频器设计教程2011年11月20日本贴所讨论的是功率分频器,但又不仅仅是分频,包括对某些频响曲线不好看的单元在某频段上做补偿或衰减,让该单元的频响曲线趋于平直。
这对初次d箱的朋友可能有所帮助。
小弟主要探讨一下普通的2路和3路分频器的设计原理及设计图,计算公式,各元器件的工作原理。
更为复杂的分频器电路图就有老鸟们来完善了这里需要说明一点,计算公式中有一些参数的微调都会导致开声后的听感不一样,所以我也希望借着这个帖子,老鸟们也能把自己的分频电路晒出来,把计算公式晒出来给大家分享一下,给大家一起分享一下。
应各位网友的要求,我把帖子重新整理一下。
把字体放大一些。
谢谢斑竹的支持~首先讲一下单元:一般情况下,我们对单元按频率会划分为超高音,高音,中高音,中音,重低音,低音,超低音超高音:负责22kHz以上的频率高音:负责5000Hz~22kHz频率.中音:负责1500~5000Hz频率低音:负责1500Hz以下频率超低音(增加)负责200Hz以下频率也有网友提出其他的划分标准以A音(C调的“哆来咪法嗦啦西”的“啦”音,频率为440赫兹)为基准音,以倍频的形式向下三个八度向上五个八度,把全音域分为八个八度,一个个八度就是音响上常说的一个倍频程(1oct)。
具体的划分是这样的:55,110赫兹,110,220赫兹,220,440赫兹,440,880赫兹,880,1760赫兹,1760,3520赫兹,3520,7040赫兹,7040,14080赫兹,共八段(八个八度)。
这样就很清晰的看出频段的划分了。
110赫兹以下,超低频;110,220赫兹,低频;220,440赫兹,中低频;440,880赫兹,低中频;880,1760赫兹,中频;1760,3520赫兹,中高频;3520,7040赫兹,高频;7040赫兹以上,超高频。
还有两种频段划分方法以“E”音划分-20 次低频20-40 极低频40-80 低频下段80-160 低频上段160-320 中频下段320-640 中频中段640-1280 中频上段1280-2560 高频下段2560-5120 高频中段5120-10240 高频上段10240- 极高频以“C”划分-63 极低频63-125 低频下段125-250 低频上段250-500 中频下段500-1K 中频中段1K-2K 中频上段2K-4K 高频下段4K-8K 高频上段8K- 极高频分频器的主要元件:电阻,电感,电容电阻在分频器中的作用:调整灵敏度电感:其特性是阻挡较高频率,只让较低的频率通过电容:其特性与电感刚好相反,也就是阻挡频率通过:当两端加载电压的时候,两端就会感应并存储电荷,电容器电容器所以是一个临时的储存电能的器件,当两端电压变化很快的时候电容器电容器【即高频】,由于电压变化太快导致两端感应电荷也同步地变化,也就等效于有电流流过电容器,而当频率很低的时候,电容器两端电压变化很慢,近似没有电流流过。
Verilog实现三分频的多种方法(附有代码)
用Verilog语言实现奇数倍分频电路 3分频 5分频 7分频分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。
但是对于时钟要求不高的基本设计,通过语言进行时钟的分频相移仍然非常流行,首先这种方法可以节省芯片内部的锁相环资源,再者,消耗不多的逻辑单元就可以达到对时钟操作的目的。
另一方面,通过语言设计进行时钟分频,可以看出设计者对设计语言的理解程度。
下面讲讲对各种分频系数进行分频的方法:第一,偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的。
如进行N倍偶数分频,那么可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。
以此循环下去,这种方法可以实现任意的偶数分频。
第二,奇数倍分频:奇数倍分频常常在论坛上有人问起,实际上,奇数倍分频有两种实现方法:首先,占空比不限定时,完全可以通过计数器来实现,如进行三分频,通过待分频时钟上升沿触发计数器进行模三计数,当计数器计数到邻近值进行两次翻转,比如可以在计数器计数到1时,输出时钟进行翻转,计数到2时再次进行翻转。
即是在计数值在邻近的1和2进行了两次翻转。
这样实现的三分频占空比为1/3或者2/3。
如果要实现占空比为50%的三分频时钟,可以通过待分频时钟下降沿触发计数,和上升沿同样的方法计数进行三分频,然后下降沿产生的三分频时钟和上升沿产生的时钟进行相或运算,即可得到占空比为50%的三分频时钟。
这种方法可以实现任意的奇数分频。
归类为一般的方法为:对于实现占空比为50%的N倍奇数分频,首先进行上升沿触发进行模N计数,计数选定到某一个值进行输出时钟翻转,然后经过(N-1)/2再次进行翻转得到一个占空比非50%奇数n分频时钟。
三分频选频电路
目录一.设计题目 (2)二.题目功能与要求 (2)1功能 (2)2.要求 (2)三.整体方案设计 (3)方框图子框图作用四.单元电路设计 (4)1.低通滤波器2.高通滤波器3.带通滤波器五.整体电路分析 (6)1.二阶有源低通电路图………………………………………………………(6 )2.二阶有源高通带你路途 (6)3.二阶有源带通电路图 (7)六.元器件明细 (8)七.设计结果验证 (9)八.电路说明书 (11)九.心得体会 (12)十.参考文献 (13)一.设计题目:三分频选频电路二.设计功能与要求功能:由RC元件与运算放大器组成的滤波器称为RC有源滤波器,其功能是让必然频率范围内的信号通过,抑制或急剧衰减此频率范围之外的信号,因受运算放大器带宽限制,这种滤波器仅适用于低频范围,依照频率范围可将其分为低通、高通、带通与带阻四种滤波器要求:(1)别离设计二阶RC低通、高通、带通滤波器电路,计算电路元件参数,拟定测试方案和步骤;(2)在面包板或全能板上安装好电路,测量并调整静态工作点;(3)测量技术指标参数。
三.总体方案设计1.方案框图RC有源滤波总框图2.子框图的作用1.RC网络的作用在电路中RC网络起着滤波的作用,滤掉不需要的信号,如此在对波形的选取上起着相当重要的作用,通常要紧由电阻和电容组成。
2 . 放大器的作用电路中运用了同相输入运放,其闭环增益 RVF=1+R4/R3同相放大器具有输入阻抗超级高,输出阻抗很低的特点,普遍用于前置放大级。
3.反馈网络的作用将输出信号的一部份或全数通过牧电路印象输入端,称为反馈,其中的电路称为反馈网络,反馈网络分为正、负反馈。
四.单元电路设计1. 低通滤波器低通滤波器电路图 传输函数依照202200/)()()(ωωω++==Q s s A s U s U s H i () f0=有 R1=Rf Rc= 取 R1=1K C=因此RF=1K,R=.2 . 高通滤波器高通滤波器电路图 传输函数二阶高通滤波器参数的确信:依照202200/)()()(ωωω++==Q s s A s U s U s H i f0=得 RF=4R1 RC= 取 R1= C=1UF 因此 RF= R=3. 带通滤波器带通滤波器电路图 传输函数二阶带通滤波器参数的确信:依照202000//)()()(ωωω++==Q s s Qs A s U s U s H i f0=得 RC= R0=取 R1=R2=R3=R C=1UF R0= 因此 R1=R2=R3= RF= C1=C2=C=1UF.五.整体电路分析依照上面的参数,用EWB 设计出如下的电路图1).二阶低通滤波器电路图图其中R1=1 k Ω R=300Ω RF= k Ω C=μ 电源电压为100Mv/60 Hz 其上限截止频率为12H f RCπ==1690 Hz 仿真波形仿真后取得幅频特性曲线为2).二阶高通滤波器电路图其中R1=200Ω R2=R3=570Ω RF=800Ω C=1μF电源电压为100Mv/60Hz 其上限中心频率为12H f RCπ==77 Hz 仿真波形:仿真后取得幅频特性曲线为3).二阶带通滤波器电路图其R1=R2=R3=200ΩR0=300ΩRf=500ΩC=1μF 电源电压为100Mv/60Hz仿真波形:仿真后取得幅频特性曲线为六.元器件明细名称数量名称数量1 kΩ电阻 3 2kΩ电阻 2 1UF 4 kΩ电阻 1 741芯片 3 kΩ电阻 4 万能板 1kΩ电阻 1 电烙铁 1kΩ电阻 2 电脑 1 七.设计结果验证实践说明,一个电子装置,即便依照设计的电路参数进行安装往往也难于达到预期成效。
尝试缩减音箱体积:用315给水管设计圆柱式三分频箱体
尝试缩减音箱体积:用315给水管设计圆柱式三分频箱体前年夏天天热,头脑更热,发现HIVI的D10G技术数据甚佳,冲动之下购了4个,黄澄澄的盆,工艺甚佳,测试T/S参数与官网参数相当接近,而且4个之间误差很小,尤其可贵的是夏天与冬天的F0变化极小,证明其关键部位“橡胶悬边”的用料很好,应该是用进口材料做的,仅此一点,本人认为比易烂边的D10.8贵得有道理。
惠威HiVi D10.8喇叭为什么买4个?是因为要做闭箱,那么不怕2个11.5寸(D10G 其实是11.5寸,SD达415cm^2)闭箱的巨大体积?当时不是这样想的,因为与Lyticast共同研究负阻驱动,发现利用负阻驱动对喇叭的强大控制力,完全可以无视F0,,VAS等参数。
大喇叭装在小箱体内,只要喇叭的SD够大,冲程够长,结合EQ电路,完全可以将F-3做到25Hz以下。
事实上,用LSPCAD模拟也得出相同的结果,当时在论坛上讨论时OK版曾经提出“喇叭阻尼不是越大越好,过强阻尼有不良后果”,我当时虽然并没有接受OK版的意见,但对极强阻尼下的低音表现开始心存疑虑,迟迟不敢动手。
Lyticast是敢想敢做的人,用了几万元翻沙做了几百斤重的浇铸铝箱(壁厚25mm再加上网格加强筋),2个12寸的专业喇叭,可以说是无所不用其极,这个就是著名的"铁甲威龙"。
"铁甲威龙"的实际听感上,感觉低音是极其快速、清晰的,但是觉得太干太硬了,缺少韵味、宽松感,这是很致命的——我非常在意低音的宽松感。
我开始怀疑是小箱体大喇叭带来的不良后果,不幸的是实验结果证实了这一点,小箱体大喇叭带来的是装箱后Fb的大幅增高,喇叭在Fb以下的失真大幅增加......这个就是低音硬的主要原因。
现在想起来这个结果是必然的,如果后音箱容积可以那么轻易地缩小而没有后遗症,那么也就根本不会有巨无霸的旗舰箱了。
这个实验结果使得4个D10G变成了鸡肋,要是Fb=40Hz,箱体容积要100L,2个D10G要200L!如此庞然大物,在寸土寸金的上海根本没有实际应用的可能。
三分频功率分配
三分频功率分配一、三分频功率分配的原理三分频功率分配是指将一个频率范围内的总功率分为三个不同的子频率范围内,并且分配的比例是不同的。
通常情况下,中心频率附近的频率范围会被分配更多的功率,而远离中心频率的频率范围则被分配更少的功率。
这种功率分配方式可以使系统在不同频率范围内有更好的传输性能。
三分频功率分配的原理可以用数学模型来描述。
假设系统的总功率为P,中心频率为f0,其它两个频率分别为f1和f2,那么三个频率范围所分配的功率可以表示为P1、P2、P3。
通常情况下有P1>P0>P2。
根据这种功率分配原理,系统可以利用更多的功率在中心频率附近,以保证在这个频率范围内有更好的传输性能。
二、三分频功率分配的应用三分频功率分配技术在通信系统中有着广泛的应用。
首先,在无线通信系统中,不同的频段有不同的传输特性,有些频段传输衰减较大,有些频段传输衰减较小。
因此,通过三分频功率分配可以根据频段的特性来分配功率,使得在高衰减的频段分配更少的功率,而在低衰减的频段分配更多的功率,从而保证整个系统的传输性能。
其次,在有线通信系统中,三分频功率分配也有其独特的应用。
例如,在数字电视信号的传输中,不同的频率范围具有不同的传输质量,通过三分频功率分配可以使得在传输质量较差的频率范围分配较少的功率,而在传输质量较好的频率范围分配较多的功率,以提高整个系统的传输性能。
三、三分频功率分配的优缺点三分频功率分配技术有着诸多优点,但同时也存在一些缺点。
首先,三分频功率分配可以提高传输效率。
通过合理的功率分配,可以使系统在不同频率范围内有更好的传输性能,从而提高整个系统的传输效率。
其次,三分频功率分配可以降低系统的干扰。
通过在不同频率范围内进行功率分配,可以有效地降低不同频段之间的干扰,提高系统的传输质量。
然而,三分频功率分配技术也存在一些缺点。
首先,三分频功率分配需要对系统进行复杂的功率分配算法,这增加了系统的设计和实现难度。
三分频音箱
科林
前面几期文章中笔者介绍了多款二分频音箱的制作实例,本期应一些读者的要求介绍一款三分频 音箱的制作。
扬声器选择
本着高性价比的原则,本款音箱的扬声器均采用南京电声股份有限公司生产的南鲸牌扬声器。低 音扬声器选用8英寸长冲程石磨强化PP盆低音扬声器,型号为YD200-8XPH;中音选用该厂生产的高档PP 盆6.5英寸扬声器,型号为YD176-8XPH;高音扬声器选用音色柔和、细腻的蚕丝膜球顶高音扬声器,型 号为YDQG20-8GV,该扬声器采用高级透明蚕丝膜,不但音质优美,而且外观也很新颖,并带有网罩, 防止振膜损坏。各扬声器参数见表1。
另外由于该音箱箱体尺寸较大为了提高箱体的稳定性在音箱内部加入了一层隔板在制作时应稍微倾斜一些以消除平行共振这层隔板不但可以起到加强筋的作用而且倾斜的木板把箱体内部低音与中高音工作室分开低音与中高音分腔工作将因低音与中高音单元在一起工作而引起的声染色现象降至最低若在这个倾斜的隔板上再加装一只倒相管还可以使低音腔室与中高音腔室形成两个独立的亥姆霍兹共振腔箱内声无线电合订本光盘2003年第9期波产生两次谐振从而使音箱的低频下限更低强化音箱的低频效果
因此,这时就需要采用衰减器对高灵敏度扬声器的灵敏度进行衰减。衰减器是整个扬声器分频网 络中的一个重要组成部分,它在扬声器分频网络中的作用就是设法在音频功率信号输入扬声器之前, 通过一组具有适当阻值的固定式电阻器或电位器使输入扬声器的信号大小受到一定程度的衰减,从而 达到调节扬声器输入电功率、控制扬声器响度以及灵敏度的目的。
该音箱分频器中采用了多个电感,为了防止分频器电感平行安装引起的互相干扰,导致音质变 劣,分频器中的各电感安装位置最好互相垂直,如图5所示。
该音箱分频器采用了双线分音的方式,因此接线架应该采用具有4个接线端子的4P接线架,两个低 音为1路输出,高音单独为一路输出,为了方便使用,最好选购带有短路片的4P接线架。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
三分频器的设计
时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。
图1:图形设计
VHDL程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity fen3 is
port
(clkin : in std_logic; --时钟输入
qout1 : buffer std_logic;
qout2 : buffer std_logic;
qout3 : buffer std_logic;
clkout : out std_logic --占空比为1/2的三分频输出
);
end fen3;
architecture behave of fen3 is
begin
qout3<=qout1 nor qout2;
process(clkin)
begin
if clkin'event and clkin='1' then --在上升沿触发
qout1<=qout3;
end if;
end process;
process(clkin)
begin
if clkin'event and clkin='0' then --在下降沿触发
qout2<=qout3;
end if;
end process;
process(qout3)
variable tem:std_logic;
begin
if qout3'event and qout3='1' then --二分频tem:=not tem;
end if;
clkout<=tem;
end process;
end behave;
图3:仿真结果
方法二:
设计两个占空比为1/3的三分频器,分别在时钟输入端的上升沿和下降沿触发,然后两个分频器的输出接一个或门,得到占空比为50%的三分频波形。
图4:图形设计
VHDL程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity fen3 is
port
(clkin : in std_logic; --时钟输入
qout1 : buffer std_logic;
qout2 : buffer std_logic;
clkout : out std_logic --占空比为1/2的三分频输出
);
end fen3;
architecture behave of fen3 is
begin
clkout<=qout1 or qout2;
process(clkin) --占空比为1/3的三分频
variable cnt:integer range 0 to 2;
begin
if clkin'event and clkin='1' then --在上升沿触发
if cnt=2 then
cnt:=0;
qout1<='1';
else
cnt:=cnt+1;
qout1<='0';
end if;
end if;
end process;
process(clkin)
variable cnt:integer range 0 to 2; --占空比为1/3的三分频 begin
if clkin'event and clkin='0' then --在下降沿触发
if cnt=2 then
cnt:=0;
qout2<='1';
else
cnt:=cnt+1;
qout2<='0';
end if;
end if;
end process;
end behave;
图5:编译结果
图6:仿真结果
方法三:
设计一个占空比为50%的四分频器,四分频器的时钟输入端是由四分频器的输出端和时钟输入相异或后驱动的,四分频器的时钟输出端就是占空比为50%的三分频波形输出。
图:图形设计
VHDL程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity fen3 is
port
(clkin : in std_logic; --时钟输入
qout1 : buffer std_logic;
clkout : out std_logic --占空比为1/2的三分频输出
);
end fen3;
architecture behave of fen3 is
constant counter_len:integer:=3; --设置计数器的模
signal clk_tem:std_logic;
begin
qout1<=clkin xor clk_tem; --反馈
process(qout1) --四分频
variable cnt:integer range 0 to counter_len;
begin
if qout1'event and qout1='1' then --在上升沿触发
if cnt=counter_len then
cnt:=0;
else
cnt:=cnt+1;
end if;
if cnt<=1 then
clk_tem<='0';
clkout<='0';
else
clk_tem<='1';
clkout<='1';
end if;
end if;
end process;
end behave;
编译结果
以上介绍了三种设计50%占空比三分频器的方法,由以上看出,第三种设计方法,程序最简洁,占用宏单元最少,而且可以举一反三,很容易设计出其他奇数分频占空比为50%的分频器。