四输入与非门

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

四输入与非门设计

四输入与非门真值表

四输入与非门VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY nand4 IS

PORT (a,b,c,d:IN STD_LOGIC;

Y:OUT STD_LOGIC); END ENTITY nand4; ARCHITECTURE nand4_a OF nand4 IS BEGIN

Y<=NOT (a AND b AND c AND d); END ARCHITECTURE nand4_a;

VHDL在组合逻辑设计中的应用

设计名称:四输入与非门

班别:08自动化

学号:0823105002

姓名:黄珩庭

相关文档
最新文档