实验一 QUARTUS II入门和分频器设计
Quartus_II使用教程-完整实例
Quartus_II使⽤教程-完整实例Quartus Ⅱ⼊门教程(⼀个Verilog 程序的编译和功能仿真)Quartus Ⅱ是Altera 公司推出的专业EDA ⼯具,⽀持原理图输⼊、硬件描述语⾔的输⼊等多种输⼊⽅式。
硬件描述语⾔的输⼊⽅式是利⽤类似⾼级程序的设计⽅法来设计出数字系统。
接下来我们对这种智能的EDA ⼯具进⾏初步的学习。
使⼤家以后的数字系统设计更加容易上⼿。
●快捷⼯具栏:提供设置(setting ),编译(compile)等快捷⽅式,⽅便⽤户使⽤,⽤户也可以在菜单栏的下拉菜单找到相应的选项。
●菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。
●信息栏:编译或者综合整个过程的详细信息显⽰窗⼝,包括编译通过信息和报错信息。
1 ⼯程名称:2添加已有⽂件(没有已有⽂件的直接跳过next)3 选择芯⽚型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯⽚) (注:如果不下载到开发板上进⾏测试,这⼀步可以不⽤设置)4 选择仿真,综合⼯具(第⼀次实验全部利⽤quartus 做,三项都选None ,然后next )5 ⼯程建⽴完成(点finish )第三步:添加⽂件(file>new> VHDL file),新建完成之后要先保存。
第四步:编写程序以实现⼀个与门和或门为例,Verilog描述源⽂件如下:module test(a,b,out1,out2);input a,b;Output out1,out2;assign out1=a&bassign out2=a | b;endmodule然后保存源⽂件;第五步:检查语法(点击⼯具栏的这个按钮(start Analysis & synthesis))点击确定完成语法检查第六步:(锁定引脚,点击⼯具栏的(pin planner ))(注:如果不下载到开发板上进⾏测试,引脚可以不⽤分配)双击location各个端⼝的输⼊输出第七步:整体编译(⼯具栏的按钮(start Complilation))第⼋步:功能仿真(直接利⽤quratus进⾏功能仿真)1将仿真类型设置为功能仿真(Assignments>setting>Simulator Settings>下拉>Function)(new>Vector Waveform File )然后导⼊引脚(双击Name 下⾯空⽩区域>Node Finder>list>点击):接下来设置激励信号(单击>选择>Timing>Multiplied by 1)设置b 信号源的时候类同设置a 信号源,最后⼀步改为然后要先⽣成仿真需要的⽹表(⼯具栏processing>Generate Functional Simulation Netlist)接下来开始仿真(仿真前要将波形⽂件保存,点击⼯具栏开始仿真):观察波形,刚好符合我们的逻辑。
实验1QuartusII设计平台的使用
实验1QuartusII设计平台的使⽤实验1 Quartus II设计平台的使⽤⼀、实验⽬的与要求1.⽬的(1)熟悉Quartus II设计平台的界⾯(2)掌握Quartus II设计平台的常⽤功能(3)掌握Quartus II开发流程2.要求(1)调试程序要记录调试过程中出现的问题及解决办法;(2)给出每个问题的算法或画出流程图;(3)编写程序要规范、正确,上机调试过程和结果要有记录,并注意调试程序集成环境的掌握及应⽤,不断积累编程及调试经验;(4)做完实验后给出本实验的实验报告。
⼆、实验设备、环境PII以上计算机,装有QuartusII软件三、⽅法与步骤(⼀)教师演⽰讲解Quartus II的使⽤1、教师演⽰Quartus II的原理图设计过程。
2、教师演⽰Quartus II的VHDL操作步骤,包括设计输⼊、编译处理、验证(包括功能仿真、时序仿真)和器件编程。
(⼆)我按照原理图设计步骤设计⼀个半加器并仿真1.操作步骤:(1)输⼊源⽂件,选择菜单”File”\”New”,弹出“New”对话框,并选择“Block Diagram/Schematic File”,出现原理图⽂件的编辑界⾯。
(2)双击⼯作区域,出现“Symbol”界⾯,展开界⾯左边的Libraries对话框内的primitives等⽂件夹,并查找与门and2、异或门xor、输⼊信号线Input、输出信号线Output,并把它们依次拉⼊原理图编辑区,如图所⽰(参考)(3)连接各器件,并保存为h_add.bdf⽂件(4)创建⼯程:点击“file/new project wizard”菜单选项,并按其提供的步骤创建⼯程(5)选择⽬标器件:点击“assignments/settings”菜单选项,选择要编程的芯⽚型号以及配置⽅式(6)全过程编译:选择“processing/start compilation”或点击⼯具栏快捷按钮开始全过程编译(此处的全过程编译包括了分析与综合、适配、装配⽂件、定时分析、⽹表⽂件提取等过程)(7)仿真第⼀步:选择“file/new”,并点击“other files”的“vector waveform file”,进⼊波形编辑界⾯(8)仿真第⼆步:选择“view/utility windows”的“Node finder”选项,在“filter”框内选择“pins:all”,点击List按钮,出现本设计项⽬中的所有端⼝,选择要仿真的端⼝并拖⼊波形编辑窗⼝(9)仿真第三步:编辑输⼊信号波形(注意观察教师现场演⽰),并可通过“edit”菜单的“end time”选项设置仿真时间(10)仿真第四步:设置仿真模式,点击“assignments/settings”菜单选项,寻找“filter settings”中的“simulator”选项,选择功能仿真(Functional)或时序仿真(Timing)(11)观察仿真结果,选择“processing/start simulation”或点击快捷按钮,仿真结束后观察并分析输出的仿真波形,检验设计是否正确,如不正确,需查错并修改,重复以上步骤直到仿真波形符合要求。
QuartusII使用入门及FPGA设计流程
第3章Quartus II使用入门及FPGA设计流程Quartus II可编程逻辑开发软件是Altera公司为其FPGA/CPLD芯片设计推出的专用开发工具,是Altera公司最新一代功能更强的EDA开发软件,可完成从设计输入,综合适配,仿真到下载的整个设计过程。
Quartus II提供了一个完整的多平台开发环境,它包含FPGA和CPLD整个设计阶段的解决方案。
Quartus II集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件设计、综合、布局和布线,验证和仿真。
Quartus II也可以直接调用Synplify Pro、ModelSim等第三方EDA 工具来完成设计任务的综合与仿真。
Quartus II与MATLAB和DSP Builder结合可以进行基于FPGA的DSP系统开发,方便快捷。
Quartus II还内嵌SOPC Builder,可实现SOPC系统的开发。
Quartus II 9.0主界面如图3.1示。
图 3.1 Quartus II 9.0界面3.1 Quartus II 基本设计流程Quartus II 设计的主要流程包括创建工程、设计输入、分析综合、编译、仿真验证、编程下载等,其一般流程如图 3.2所示。
下面以硬件描述语言输入法设计计数器为例,说明Quartus II 的设计流程。
3.1.1 创建工程使用Quartus II 设计电路被称作工程。
Quartus II 每次只进行一个工程,并将该工程的全部信息保存在同一个文件夹中。
开始一项新的电路设计,首先要创建一个文件夹,用以保存该工程的所有文件。
之后便可通过Quartus II 的文本编辑器编辑Verilog 源文件并存盘。
3.1.2 设计输入Quartus II 中包含原理图输入和硬件描述语言输入两种方法。
(1)原理图输入原理图输入的优点是,设计者不必具有诸如编译技术、硬件描述语言等新知识就能迅速入门,完成较大规模的电路系统的设计,且具有直观,易于理解的特点,适合于初学者使用。
QuartusII软件使用及设计流程
时序优化
时序分析
在Quartus II中进行时序分析,确保设计满足时序要求,找出关键 路径并优化。
延迟调整
通过调整逻辑单元的时序参数,减小关键路径的延迟,提高时钟频 率。
布局优化
合理安排逻辑单元的位置,减小信号传输延迟,提高时序性能。
面积优化
优化算法
采用高效的算法和优化策略,减小设计规模, 降低面积成本。
低功耗硬件选择
选择低功耗硬件器件和IP核,从硬件层面降低功 耗。
06
Quartus II实际应用案 例
数字钟设计案例
总结词
数字钟设实现数字钟的原理图 设计和编程。
详细描述
首先,在Quartus II软件中创建一个新的工程,选择合适的FPGA芯片型号。然后,使 用原理图输入方式设计数字钟电路,包括分频器、计数器、译码器等模块。接着,进行 仿真测试以确保设计正确。最后,将设计文件下载到FPGA芯片中,完成数字钟的硬件
保存配置
完成配置后,保存配置文件以便以后使用。
许可证激活与验证
获取许可证文件
从Altera官网或授权合作伙伴处获取Quartus II软件 的许可证文件。
激活许可证
运行许可证激活程序,输入许可证文件中的激活码进 行激活。
验证许可证
启动Quartus II软件,验证许可证是否有效,确保软 件正常使用。
完成串口通信的硬件实现。
THANKS FOR WATCHING
感谢您的观看
Quartus II软件中提供了大量的IP核,用户可以直接调用这些IP核进行设计, 避免了重复造轮子,提高了设计效率。
IP核定制
对于一些特殊需求,用户可以通过定制IP核的方式实现。Quartus II软件提供了 IP核定制工具,用户可以根据需求对IP核进行修改和定制,以满足特定设计要求。
QuartusII教程(完整版)
QuartusII教程(完整版)Quartus II 的使用 (1)1 工程建立 (1)2 原理图的输入 (4)3 文本编辑(verilog) (14)4 波形仿真 (17)Quartus II 的使用在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。
进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。
图 1.1 Quartus II 管理器1.1 工程建立使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。
还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。
建立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如图1.2所示。
图 1.2 建立项目的屏幕(2)输入工作目录和项目名称,如图1.3所示。
可以直接选择Finish,以下的设置过程可以在设计过程中完成。
图 1.3 项目目录和名称(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。
图 1.4 加入设计文件(4)选择设计器件,如图1.5所示。
图 1.5 选择器件(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。
图 1.6 选择EDA 工具(6)建立项目完成,显示项目概要,如图1.7所示。
图 1.7 项目概要1.2 原理图的输入原理图输入的操作步骤如下:(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。
图 1.8 新建原理图文件(2)在图1.9的空白处双击,屏幕如图1.10所示:(3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。
此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;图1.9 空白的图形编辑器图1.10 选择元件符号的屏幕图1.11 放置所有元件符号的屏幕(5)重复(4)的方法将DFF和output连起来,完成所有的连线电路如图1.12所示;(6)在图1.12中,双击input_name使其衬低变黑后,再键入clk,及命名该输入信号为clk,用相同的方法将输出信号定义成Q;如图1.13所示。
实验一 Quartus II的使用1
实验一、Quartus II的使用一、实验目的:(1)熟悉Quartus II开发环境的使用(2)掌握利用Quartus II进行简单数字电路设计的基本流程及方法(3)掌握Quartus II开发环境中建立电路图的方法(4)了解Quartus II下简单设置输入激励的方法二、实验步骤下面以1位全加器为例介绍如何使用Altera Quartus II设计软件来对可编程逻辑器件进行编程。
(1)双击桌面上Quartus II 图标,运行Quartus II 软件,如图所示:图1 Quartus II 软件界面(2)建立工程。
选择菜单File→New Project Wizard,如图2所示图2 选择建立新工程向导菜单项单击菜单项New Project Wizard 后,出现向导提示框,单击按钮Next,出现如图3所示New Project Wizard 对话框界面,在该界面中输入相应工程名称和存放路径,然后单击按钮Next。
图3 New Project Wizard对话框界面出现如图4所示的Add Files 对话框界面,在File name 栏中输入文件名称,如“Adder”。
图4 Add Files对话框界面出现如图 5 所示的器件设置对话框界面,实验系统使用的是MAXII 系列的EPM1270T144C5 芯片,找到该器件后选中它,然后一直单击按钮Next,完成新工程的建立图5 器件设置对话框界面(3)新建设计文件建立新工程后,选择菜单File→New,弹出如图6 所示的新建设计文件选择窗口。
选择框中的Device Design Files 页下的项目Block Diagram/Schematic File,使用图形设计方式,单击按钮OK,则打开了图形编辑器窗口。
图6 新建设计文件选择框选择菜单File→Save As,在文件保存对话框中输入文件名,如Adder,然后单击按钮“保存”,则创建了图形设计文件Adder(4)设计逻辑电路。
实验一_QuartusII的使用
实验一_QuartusII的使用引言:Quartus II是一款由美国Intel公司开发的FPGA设计软件,广泛应用于数字集成电路设计和原型验证。
本实验将介绍Quartus II的基本使用方法,包括项目创建、设计输入、约束设置、编译与仿真等。
一、环境准备二、项目创建1.启动Quartus II软件,选择"File" -> "New Project Wizard"创建新项目。
在弹出的对话框中,选择项目的存储位置和名称,并选择合适的目标设备和设计流程。
点击"Next"进入下一步。
2.在第二步中,选择项目的项目类型和是否要添加预定义的IP (Intellectual Property)核。
IP核是现成的、可重用的模块,可以简化设计。
根据自己的需求进行选择,点击"Next"。
3.在第三步中,选择顶层设计文件的命名,并点击"Next"。
5.在第五步中,对项目的设置进行回顾,并点击"Finish"完成项目创建。
三、设计输入1.双击项目中的顶层设计文件,打开Design Entry工具。
在Design Entry工具中,可以通过图形界面或者Verilog/VHDL语言进行设计输入。
a.如果选择使用图形界面,可以在左侧工具栏中选择需要的元件,然后在设计区域中拖拽放置,最终形成需要的电路结构。
b.如果选择使用Verilog/VHDL语言,可以在设计区域中输入相应的代码,然后进行语法检查。
2.在设计完成后,可以使用编译按钮对设计进行编译。
编译过程中,Quartus II会对设计进行分析、优化和进行布线等操作,生成逻辑网表。
四、约束设置1.双击项目中的顶层设计文件,打开Design Constraints工具。
在Design Constraints工具中,可以设置时钟频率、信号约束、引脚约束等。
QuartusII软件使用及设计流程
2. 功能仿真
功能仿真是忽略延时的仿真,是理想的仿真。怎么 设计功能仿真? 首先在图1-23中单击“Assignments”菜单下的 “Settings”命令,如图1-25,单击左侧标题栏中的 “Simulator”选项后,在右侧的“Simulation mode”的 下拉菜单中选择“Functional”选项即可(软件默认的是 “Timing”选项),单击“OK”按钮后完成设置。
AHDL文本文件 流程图和原理图文件 网表文件 在线系统文件 Verilog HDL文本文件
VHDL文本文件
图1-11 VHDL文本编辑窗口
(2)输入程序。在图1-11中输入半加器的VHDL程序,如图112所示。
(3)保存文件。在图1-12中单击保存文件按钮,弹出对话 框如图1-13,将输入的VHDL语言程序保存为half_add.vhd 文件,注意后缀名是.vhd,如图1-13。
(4)编译工程。 在图1-11中选择菜单Processing下的Start Complilation, 开始编译,并伴随着进度不断地变化,编译完成后的 窗口如图1-14所示。如果编译过程出现错误,要将错误 改正,保存后再次编译,直到编译无错误为止。到此 在QuartusII软件中使用VHDL语言输入完成,接下来是 将保存好的VHDL语言程序进行仿真,在软件上验证 VHDL语言描述的功能是否能够达到预期目的。
3.下载模式
JTAG模式是软件的默认下载模式,相应的下载文件为 “.sof”格式。在“Mode”一栏中还可以选择其他的下载模 式,例如,Passive Serial、Active Serial Programming和 In-Socket Programming。勾选图1-31中下载文件 “half_add.sof”右侧的第一个小方框,也可以根据需要勾 选其他的小方框。将下载电缆连接好后,单击“Start”按 钮计算机就开始下载编程文件,这样在实验箱上就可以验 证实验效果了。 到这里,我们都演示了QuartusII软件的全部使用过程,从 建立工程,VHDL语言设计输入,设计仿真到编程下载都 演示了一遍,读者跟着上面的操作,就能够到达最后的程 序下载,在EDA实验箱上看到最终的效果。
Quartus II 软件操作实验报告
实验题目:Quartus II 软件操作一、实验目的(1)了解并掌握QuartusII软件图形输入的使用方法。
(2)了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。
二、实验内容及步骤1.实验内容:本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。
2.实验步骤:在QuartusII中通过原理图的方法,使用与门和异或门实现半加器。
原理图第1步:打开QuartusII软件,新建一个空项目。
选择菜单File->New Project Wizard,进入新建项目向导,填入项目的名称“hadder”。
第2步:单击Next按钮,进入向导的下一页进行项目内文件的添加操作,或直接点击Next按钮。
第3步:选择CPLD/FPGA器件,选择芯片系列为“MAX II”,型号为“EPM240T100C5”。
向导的后面几步不做更改,直接点击Next即可,最后点击Finish结束向导。
第4步:新建一个图形文件。
选择File->New命令,选择“Diagram/Schematic File”,点击OK按钮完成。
将该图形文件另存为hadder.bdf。
第5步:在图形编辑窗口的空白处双击,打开符号库窗口。
选择好需要的符号后,单击OK按钮,界面将回到原理图编辑界面,然后单击左键即在窗口内放置该符号。
分别放置与门“7408”和异或门“xor”。
第6步:在编辑窗口中放入两个输入符号,命名为a和b。
放置2个输出“output”符号,并分别命名为s、cout。
将各符号连接起来。
第7步:保存图形文件,进行语法检查和编译。
在信息(Messages)窗口中显示检查结果。
第8步:仿真。
执行File->New命令,选择“Other Files”选项页中 Vector Waveform File,并单击OK按钮,打开矢量波形编辑器窗口。
另存矢量波形文件为hadder.vwf。
FPGA设计与应用实验指导书-v2
FPGA设计与应用实验指导书实验一Quartus II开发软件入门一、实验目的1. 熟悉Quartus II 开发软件的基本使用方法2. 掌握用VHDL语言设计组合逻辑电路的方法二、实验内容1. 运用Quartus II 开发软件,完成工程创建、代码编写、程序调试、编译仿真等基本操作。
2. 用VHDL语言设计实现一个3-8译码器,并进行功能仿真验证。
三、实验步骤1.Quartus II 开发软件基本操作(1)创建工程在File菜单下选择New Project Wizard…如图1-1所示。
图1-1 创建工程示意图弹出创建工程选框,选择工程的工作路径,输入工程名称。
如图1-2所示。
注意工程名称的格式要求,同时工程名称默认和顶层实体名称相同,不允许修改。
图1-2 创建工程名称可选择添加已有的程序文件,如图1-3所示。
这里不添加,直接下一步。
图1-3 添加文件选框选择芯片系列和芯片型号,如图1-4所示。
实验中使用的是Altera公司的Cyclone II系列FPGA 芯片,型号为EP2C35F672C6。
如果不进行硬件下载,可直接下一步。
图1-4 芯片参数选框EDA外部工具选框如图1-5所示。
一般选择默认值,直接下一步。
图1-5 EDA外部工具选框完成工程设置后的工程信息如图1-6所示。
图1-6 工程信息选框至此完成工程的创建。
(2)新建VHDL文件在File菜单下选择New选项,如图7所示。
图1-7新建文件示意图点击新建菜单后给出新建文件选框如图1-8所示。
选择VHDL File项创建VHDL文件。
图1-8 新建VHDL文件选框确定后弹出新建VHDL文件编辑窗口如图1-9所示。
可在窗口中编写程序内容并保存,注意文件名称与工程实体名称必须一致。
图1-9 VHDL文件编辑窗口至此完成VHDL文件的创建和VHDL程序的编写。
(3) 程序编译程序编写完成后,选择Processing菜单下的编译工具(Compiler Tool)菜单,如图1-10所示,调出编译工具。
实验1 QuartusII使用
实验1用Verilog HDL语言完成基本电路的设计一、实验目的1.熟悉QuartusⅡ的V erilog HDL文本设计流程全过程及波形时序仿真方法;2.学习简单组合电路的设计。
二、实验内容1.用Verilog HDL语言设计简单组合电路。
2.利用QuartusⅡ开发平台完成电路的文本编辑输入和编译等步骤。
3.在进行时序仿真,验证本项设计的功能。
三、参考程序module muxtwo(a,b,s,y);input a , b , s;output y;assign y=(s?a:b);endmodule四、实验步骤1.建立工作库文件夹和编辑设计文件(1)新建文件夹。
这里假设本项设计的文件夹取名为muxtwo,在E盘中,路径为E:\muxtuo。
(2)输入源程序。
打开QuartusII:菜单操作:file—new,在new窗口中,DeviceDesign Files—Verilog HDL File,然后输入给的参考程序。
(3)文件存盘。
a. 菜单操作:file—save,找到已建的文件夹E:\muxtuo 。
b. 存盘的文件名应该与实体名一致,即muxtwo.v 。
c. 当出现问句“Do you creat a new project…”时,若单击“是”,则直接进入创建工程流程。
2.创建工程(1)打开并建立新工程管理窗口。
菜单操作:file—new project wizard,即弹出设置窗口,填好内容后,单击Next按钮。
(2)将设计文件加入工程中。
在弹出的对话框中单击File name栏后的按钮,将与工程相关的所有Verilog文件都加入此工程,单击Next。
(3)选择目标芯片。
根据实验箱提供的器件型号选择目标器件。
进行相应的选择。
单击Next。
(4)工具设置。
这里不作选择。
单击Next。
(5)结束设置。
弹出的窗口中列出了此项工程的相关情况设置。
最后单击Finish按钮,即已设定好工程。
3.全程编译菜单操作:Processing—start compilation4.时序仿真打开波形编辑器。
QuartusII操作简略入门
5. 将设计项目设置成工程和时序仿真
f_adder.bdf工程设置窗
5
5. 将设计项目设置成工程和时序仿真
加入本工程所有文件
6
5. 将设计项目设置成工程和时序仿真
全加器工程f_adder的仿真波形
7
步骤6:引脚锁定
8
4.4 设计实例
第5步:给输入、输出引脚分配引脚号码,编程下载
(1)对顶层图形文件counter_7seg.bdf 进行引脚锁定;
键2则对应 10K10的第 6脚,可输 入ain,依 次 类推。
13
根据电路结构模式NO.5 查上表,EPF10K10器件对应:
加数 ain : PIO1 -> 键2 \D10对应引脚 -> 6 被加数 binB : PIO0 -> 键1 \D9对应引脚 -> 5
和 sum : PIO8 -> D1对应引脚 -> 17 低位进位 cin : PIO2 -> 键3 \D11对应引脚 -> 7 高位溢出位 cout : PIO9 -> D2 对应引脚 -> 18
键1定义 为:bin
11
显示cout 这里插上的是 10K10目标板
显示电路 模式NO.5
显示sum
按此键选择 电路模式
输入cin
输入ain 输入bin12
对于10K10器件 选此列
对于电路 模式5,键 1对应于 10K10的第 5脚,可输 入bin
19
引脚锁定(实验1)
模式:NO.1
加数a[7..0] : 键4,键3 : PIO15 ~ PIO8 (25,24,23,22,21,19,18,17) ——显示于数码管4,3
实验一 Quartus II基本操作
实验一 Quartus II基本操作一、实验目的1.了解Quartus II软件的功能。
2.初步掌握Quartus II的VHDL输入方法。
3.掌握Quartus II编译、功能仿真和时序仿真。
4.掌握Quartus II管脚分配、综合与实现、数据流下载方法。
二、实验内容本实验以8位全加器为例,在Quartus II软件平台上完成设计电路的VHDL文本输入,编辑,编译,仿真,关键分配和编程下载等操作。
下载芯片选择Altera公司的FLEX10K系列的EPF10K10LC84-3器件。
1. VHDL源程序的输入Quartus II环境下,执行“file”的“New Project Wizard”命令,为8位全加器建立设计项目。
项目名称为adder8;出现选择芯片对话框,我们选择FLEX10K系列的EPF10K10LC84-3器件作为仿真芯片;finish完成设置。
Quartus II环境下,执行“file”的“New”命令,在弹出的编辑文件类型对话框中,选择“VHDL File”,ok进入Quartus II文本编辑方式,在文本框中编辑输入8位全加器的VHDL 源程序。
在VHDL源程序中,A和B是两个8位二进制输入信号,CIN是低位进位输入信号,SUM是8位加数之和的输出信号,COUT是向高位进位的输出信号。
2. 设计文件存盘与编译adder8.vhd为文件名将二选一数据的VHDL源程序设计文件保存在工程目录中,*.vhd表示VHDL文本文件。
Quartus II环境下,执行“Processing”的“start Compilation”命令,或者在主窗口上直接单击“start Compilation”按钮,对adder8.vhd设计文件进行编译。
如果输入无语法错误的话,编译完成后的结果如下图所示:adder8所占用的EPF10K10LC84-3芯片宏单元的2%,占用引脚数为44%,没有用存储单元。
实验一 Quartus II软件的基本操作
实验一Quartus II软件的基本操作一、实验目的:熟悉Quartus II软件的基本操作。
二、实验内容1.熟悉Quartus II软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计)2.用逻辑图和VHDL语言设计一个异或门。
3.用逻辑图和VHDL语言设计三态门,三态门的使能端对低电平有效。
三、实验步骤。
(一)、异或门和三态门的逻辑图。
1.异或门:逻辑图:2.三态门逻辑图(二)用VHDL语言设计8-3编码器、参数化的译码器。
1.异或门:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity xor2 isport(a,b:in STD_LOGIC;c : out STD_LOGIC);end entity xor2;architecture bhv of xor2 isbeginc<=a xor b;end architecture bhv;2.三态门LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY tri_s ISPORT(enable,datain : IN STD_LOGIC;dataout : OUT STD_LOGIC);END tri_s;ARCHITECTURE bhv OF tri_s ISBEGINPROCESS (enable,datain)BEGINIF enable = '1' THEN dataout <= datain;ELSE dataout <='Z';END IF;END PROCESS;END bhv;四、实验仿真结果。
1.异或门:2.三态门:五、总结。
通过直接画逻辑图的方式来实现实验会特别方便,而VHDL语言有点麻烦,可能是因为没有怎么具体学习和使用过吧,什么数据流方式,结构体方式,行为方式还不太分得清,接下来需要努力了。
quartusii入门实验课程设计
quartusii入门实验课程设计一、课程目标知识目标:1. 理解Quartus II软件的基本功能与操作界面,掌握软件的基本使用方法;2. 学习并掌握FPGA设计的基本流程,包括设计输入、编译、仿真和硬件测试;3. 了解Verilog HDL硬件描述语言的基本语法和结构,能够编写简单的硬件描述程序。
技能目标:1. 能够独立使用Quartus II软件完成FPGA设计的输入、编译和硬件测试;2. 学会使用Verilog HDL语言进行基本的硬件描述,具备一定的FPGA编程能力;3. 掌握通过Quartus II软件进行硬件设计的调试方法,能够解决简单的设计问题。
情感态度价值观目标:1. 培养学生对电子设计及FPGA技术的兴趣,激发学习热情和探究精神;2. 培养学生的团队合作意识,提高沟通与协作能力;3. 培养学生严谨、细致的学习态度,注重实践操作能力的培养。
课程性质:本课程为入门实验课程,以实践操作为主,理论讲解为辅,使学生在实践中掌握知识,提高技能。
学生特点:学生处于初级阶段,对FPGA技术有一定的好奇心,但知识储备和实际操作经验有限。
教学要求:结合学生特点,注重理论与实践相结合,循序渐进地引导学生掌握Quartus II软件的使用和FPGA设计的基本技能。
同时,关注学生的情感态度价值观培养,提高学生的学习兴趣和积极性。
通过具体的学习成果评估,确保课程目标的实现。
二、教学内容1. Quartus II软件概述:介绍Quartus II软件的功能特点、操作界面及基本设置。
教材章节:第一章 软件概述2. FPGA设计流程:讲解FPGA设计的基本流程,包括设计输入、编译、仿真和硬件测试。
教材章节:第二章 FPGA设计流程3. Verilog HDL基础:学习Verilog HDL的基本语法、数据类型、运算符和基本结构。
教材章节:第三章 Verilog HDL基础4. 设计输入与编译:学习如何使用Quartus II软件进行设计输入,以及编译过程中需要注意的问题。
实验一 Quartus II使用与基本逻辑电路的设计
实验一 Quartus II使用与基本逻辑电路的设计实验一 Quartus II使用与基本逻辑电路的设计[实验目的]1、熟悉Quartus II的文本输入方式, 掌握其编辑、编译综合、仿真的操作方法;2、学习Quartus II环境下的编程下载及硬件测试方法;3、学习应用QuartusII完成基本时序电路设计; 4、应用QuartusII完成基本组合电路的设计。
* [实验仪器]PC机、 EDA实验箱一台 Quartus II 6.0软件 [实验内容](1) 实验内容1:在QuartusⅡ上输入该设计的文本,并进行编辑、编译、综合、适配、仿真。
说明设计中各语句的作用,详细描述设计的功能特点,给出其所有信号的时序仿真波形。
(2) 实验内容2:引脚锁定以及硬件下载测试。
引脚锁定后进行编译、下载和硬件测试实验。
将实验过程和实验结果写进实验报告。
* (3) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考4.3节。
* (4) 实验内容4:从设计中去除SignalTap II,要求全程编译后生成用于配置器件EPCS1编程的压缩POF文件,并使用ByteBlasterII,通过AS模式对实验板上的EPCS1进行编程,最后进行验证。
*(5) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端(采用时钟选择clock0=12MHz,计数器时钟CLK分别选择256Hz、16384Hz、6MHz),并进行实时测试。
[实验原理]数字逻辑电路中,根据逻辑功能的不同特点,可以把数字逻辑电路分成组合逻辑电路和时序逻辑电路两大类用。
在组合逻辑电路中,任意时刻的输出仅仅取决于该时刻的输入,与电路的原来状态无关;在时序逻辑电路中,任一时刻的输出信号不仅取决于当时的输入信号,而且还取决于电路原来的状态,也就是与电路原来的状态有关。
在数字系统中使用的最多的时序电路要算是计数器了。
实验一 QUARTUS II 入门
实验一QUARTUS II 入门QUARTUS 操作步骤,以“二选一多路选择器”为例1、如图1所示在F盘,建立以自己学号命令的文件夹如200913000000,并在其中建立命为EX1的文件夹,用来保存实验1的文件。
图12、如图2所示,点击Flie->New Project Wizard建立新的工程文件。
图23、将出现如图3所示的界面,点击如图所示的省略号,选择工程文件所要保存的位置。
图34、如图4所示,选中F:\20091300000\EX1并点击打开,进入如图5所示的界面图45、如图5所示,在工程文件保存的路径中显示刚才所选择的路径F:\20091300000\EX1图56、如图6所示,在What is the name of this project的栏目中输入工程的名字本实验临时取mux21a。
同时下面的顶层实体名也同步显示,然后点击Next进入到界面7。
图67、如图7所示,本界面是需要选择已有的文件,因为我们是新的工程,暂时没建立任何文件,所以直接点击Next进入到界面8。
图78、如图8所示,进行器件选择,我们要根据实验箱的芯片来进行器件选择。
选择CycloneII 系列。
图89、如图9所示,在CycloneII系列下选择EP2C5T144C8的芯片。
然后点击Next进行界面10。
图910、如图10所示,此界面是选择额外的综合、仿真工具软件,因为Quartus II本身自带有这些功能,所以我们不选择额外的工具软件。
直接点击Next进入到界面11。
图1011、如图11所示,此界面显示前面所有步骤的结果,包括文件保存路径、工程名、顶层实体名、芯片的系列与具体型号、额外的工具软件等等。
检查没错后点击Finish,如果有错点击Back返回进行修改。
图1112、如图12所示为已经建好工程的界面,显示工程名与工程文件路径。
图1213、如图13所示,点击新建文件按钮或File->New建立新文件,进入到如图14界面。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验报告
课程名称EDA技术与VHDL设计
实验项目Quartus II入门
实验仪器计算机、Quartus II
系别信息与通信工程学院
专业电子信息工程
班级/学号电信1201 / 2012010970 学生姓名张宗男
实验日期
成绩
指导教师
实验一 QUARTUS II入门和分频器设计
一、实验目的
1.掌握QUARTUS II工具的基本使用方法;
2.掌握FPGA基本开发流程和DE2开发板的使用方法;
3.学习分频器设计方法。
二、实验内容
1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。
2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。
三、实验环境
1.软件工具:QUARTUS II 软件;开发语言:VHDL;
2.硬件平台:DE2实验开发板。
四、实验过程
1.设计思路
(1)、
18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、
实现10分频IF(count="1001") THEN
count<="0000";
clk_temp<=NOT clk_temp;
达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。
2.VHDL源程序
(1)、
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY e_zhangzongnan IS
PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17);
HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);
LEDR :OUT STD_LOGIC_VECTOR(0 TO 17));
END e_zhangzongnan;
ARCHITECTURE Behavior OF e_zhangzongnan IS
SIGNAL temp :STD_LOGIC_VECTOR(0 TO 3);
BEGIN
LEDR<=SW;
temp(3)<=SW(0);
temp(2)<=SW(1);
temp(1)<=SW(2);
temp(0)<=SW(3);
PROCESS(temp)
BEGIN
CASE temp IS
WHEN "0000"=>HEX0<="0000001";
WHEN "0001"=>HEX0<="1001111";
WHEN "0010"=>HEX0<="0010010";
WHEN "0011"=>HEX0<="0000110";
WHEN "0100"=>HEX0<="1001100";
WHEN "0101"=>HEX0<="0100100";
WHEN "0110"=>HEX0<="0100000";
WHEN "0111"=>HEX0<="0001111";
WHEN "1000"=>HEX0<="0000000";
WHEN "1001"=>HEX0<="0000100";
WHEN OTHERS=>HEX0<="1001000";
END CASE;
END PROCESS;
END Behavior;
(2)、
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY f_zhangzongnan IS
PORT(clk: IN STD_LOGIC;
clk_div10: OUT STD_LOGIC);
END ENTITY f_zhangzongnan;
ARCHITECTURE rtl OF f_zhangzongnan IS
SIGNAL count: STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL clk_temp: STD_LOGIC;
BEGIN
PROCESS(clk)
BEGIN
IF(clk'event AND clk='1') THEN IF(count="1001") THEN
count<="0000";
clk_temp<=NOT clk_temp;
ELSE
count<=count+1;
END IF;
END IF;
END PROCESS;
clk_div10<= clk_temp;
END ARCHITECTURE rtl;
实验结果分析
(1)、
(2)、
从波形图可以看出,能够实现10分频。
六、实验总结
通过这次实验,我对QUARTUS的新建,输代码,运行,仿真,烧写,和学习板的操作都相应的有了认识和学习,通过代码的编写和修改,对EDA语言有了比课堂上更加深刻的认识
和掌握,为以后的学习奠定了一定的基础。