数字秒表电路设计ok
数字秒表的系统设计
3 数字秒表的系统设计3.1 系统的整体设计数字秒表的设计主要是一个计数电路,首先对一个时钟进行不同的分频,然后将分频出的时钟分别送给相应的模块,毫秒计数器,秒计数器,分计数器,时计数器,然后经过译码电路送给数码管,显示出相应数字,具体的秒表设计系统框图如下所示。
图3.1 系统框图本次设计采用1.25mhz的基准输入脉冲,将其进行12500分频后得到100hz的标准脉冲,因为秒表的精确度要求为0.01s,所以需要用100hz的脉冲。
分频模块的输出脉冲作为计时模块的输入,当第一个模块计数满100后向秒进位,当秒计数满60后向分进位,分记满60后向小时计数,然后将要显示的数字进行译码后送给七段数码管进行显示即可。
3.2 秒表的层次化设计3.2.1 分频模块分频电路在EDA的各种设计电路中应用非常多。
我们经常用分频电路来实现多种我们需要的不同频率的脉冲。
分频的基本原理就是将一个频率比较高的数字脉冲经过是当的处理后,输出一个或者多个频率相对较低数字脉冲,它的实质是计数器,计数的大小即分频常数有输入和输出的比值决定,它的输出是依据分频常数对输出信号的低电平和高电平的控制。
另外分频器可分为整数分频和小数分频,本次设计采用整数分频。
本次设计的精确度为0.01s,首先需要一个准确的计时基准时钟,它的周期为10ms,即需要用100hz的计时时钟,本次设计提供的时钟信号源为1.25mkhz,先经过12500分频后可以获得100hz的时钟,让100hz作为计时模块的输入,用VHDL语言实现如下。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fenpin ISPORT ( en, clock: IN STD_LOGIC ;clk1: OUT STD_LOGIC);END fenpin;ARCHITECTURE bhv OF fenpin ISBEGINPROCESS(clock)V ARIABLE cout:INTEGER:=0;BEGINIF clock'EVENT AND clock='1' and en='1' THENcout:=cout+1;IF cout<=6250 THEN clk1<='0';ELSIF cout<12500 THENclk1<='1';ELSE cout:=0;END IF;END IF;END PROCESS;END bhv;3.2.2 计时电路模块计时电路是EDA进行各种数字电路的设计时几乎是不可缺少的一部分,可用于分频,定时电路的定时,基本的计数功能,甚至还可以用来做数学运算等。
简易数字秒表的电路设计 概述及解释说明
简易数字秒表的电路设计概述及解释说明1. 引言1.1 概述本文主要介绍了一种简易数字秒表的电路设计。
秒表是一种用于计算时间间隔的常见工具,广泛应用于日常生活和各行各业中。
传统的机械秒表用起来不够便捷,因此我们将使用电路设计来实现一个数字秒表,使其更加方便使用。
1.2 文章结构本文分为四个主要部分进行阐述。
首先,在“引言”部分中我们将对文章进行概述和介绍。
接下来,在“简易数字秒表的电路设计”部分中,我们将详细介绍设计原理、电路元件选择与说明以及电路连接与布局等内容。
然后,在“解释说明”部分中,我们将解释秒表功能的实现方法,并探讨其功能扩展可能性,并指出在电路设计过程中需要注意的问题。
最后,在“结论”部分中,我们对本次设计成果进行总结,并就可能存在的改进空间进行分析和未来应用进行展望和思考。
1.3 目的本文旨在通过详细描述并解释简易数字秒表的电路设计,提供一个清晰易懂、全面深入的指南,帮助读者了解该设计思路及其实现方法。
同时,通过对功能扩展可能性的探讨和对电路设计过程中需要注意的问题的分析,可以引导读者在实际应用和改进中做出更好的决策。
最后,通过总结和展望,为未来的研究和发展提供参考思路。
2. 简易数字秒表的电路设计2.1 设计原理:简易数字秒表的电路设计基于计时器和显示器组成。
其主要原理是利用计时器模块产生一个稳定的时间基准,然后将该时间以数字形式显示在显示器上。
2.2 电路元件选择与说明:在设计简易数字秒表的电路时,我们需要选取合适的电子元件来实现功能。
以下是一些常见的元件选择:- 计时器芯片:可选择集成型计时器芯片,如NE555等,它们具有稳定的时钟信号输出。
- 显示屏:一般选用7段LED数码管,由于它们能够直观地显示数字。
- 驱动芯片:如果使用多个7段LED数码管进行显示,则必须选择合适的驱动芯片,如74HC595等。
这些元件经过合理的选择和配套可以实现精确、稳定地测量和显示时间。
2.3 电路连接与布局:简易数字秒表电路连接和布局对功能稳定性有重要影响。
数字秒表电路设计
数字秒表电路设计一、设计任务设计要求:(1)5V电源供电。
(2)数码管显示时间范围为:0~59秒。
(3)可自动复位和手动复位,能实现手动调整时间。
二、设计方案:1、方案分析论证:启动清零复位电路主要由U6A、U6B、U7B、U7D组成,其本质是一个RS 触发器和单稳态触发器。
S1控制数字秒表的启动和停止,S2控制数字秒表的清零复位。
开始时把S1合上,S2打开,运行本电路,数字秒表正在计数。
当打开S1,合上S2键,S2与地相接得到低电平加到U6B的输入端,U6B输出高电平又加到U6A的输入端,而U6A的另一端通过电阻R15与电源相接得到高电平,(此时U6B与U6A组成RS触发器),U6A输出低电加到U7A的输入端,U7A被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。
当S1合上时,打开S2键,S1与地相接得到低电平加到U6A的输入端,U6A输出高电平加到U6B 的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的QD---QA输出0000,经U1、U2译码输出驱动U9、U10显示“00”。
因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。
同时U6A输出高电平加到U7A的输入端,将U7A打开,让3脚输出100KHZ 的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。
当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。
数字秒表电路设计ok
数字秒表电路设计电信1205班 钟杰瑛 学号 U201213508一、设计任务及要求设计一个数字秒表电路,其功能要求是:(1) 设计一个用来记录短跑运动员成绩的秒表电路,能以数字的形式显示时间; (2) 秒表的计数范围为0.01~59.99S ,计时精度为10mS ;(3)通过两个按键来控制计时的起点和终点,一个是清零按键,用于设置秒表为初始状态,另一个则是开始/停止按键,在清零无效时,按一下开始/停止键,则计时器开始计时,再按一下则暂停计时,再按一下则继续计时。
二、系统组成框图本系统采用层次式设计方法设计,系统由时钟脉冲产生电路,可预置计数器,毫秒计数、秒计数、控制电路、译码及显示电路,及消抖动电路等部分(模块)组成。
系统组成框图如图1所示。
系统工作原理是:由时钟脉冲产生电路产生频率为100Hz 的脉冲信号接入暂停/继续控制电路,由该电路通过按键控制毫秒、秒计数器的暂停计数/继续计数。
由控制电路输出的毫秒脉冲输入到毫秒计数器,毫秒计数器(二级毫秒计数器)计数到100毫秒时向秒计数器进位,秒表计数至59.99秒时停止计数。
毫秒,秒计数器输出分别经毫秒,秒译码器译码后送至各自的LED 数码显示器。
三、系统电路设计1.时钟脉冲产生电路时钟脉冲产生电路选用NE555定时器组成多谐振器,产生100Hz 脉冲给毫秒计数器。
电路及其工作波形分别图2(a )、(b )所示。
其工作原理是:① 接通电源后,电容C 被充电,C V 上升,当C V 上升到CC V 32时,触发器被复位,同时定时器内部的放电三极管D T 导通,此时o V 为低电平,电容C 通过2R 和D T 放电,使C V 下降;② 当C V 下降到CC V 31时,触发器又被置位,o V 翻转为高电平。
电容器C 放电所需时间为图1 数字秒表系统组成框图C R C R t PL 227.02ln ≈=③ 当C 放电结束时,D T 截止,CC V 将通过1R ,2R 向电容器C 充电,C V 由CC V 31上升到CC V 32所需时间为C R R C R R t PH )(7.02ln )(2121+≈+=④ 当C V 上升到CC V 32时,触发器又发生翻转,如此周而复始,在输出端就得到一个周期性的方波,其频率为CR R t t f PH PL o )2(43.1121+≈+=由于555内部的比较器灵敏度较高,且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。
数字电路课程设计电子秒表
机械与电子工程学院课程设计报告《数字电子技术》课程设计专业名称:班级:学号:姓名:指导教师:日期:2012.06.121前言秒表应用于我们生活、工作、运动等需要精确计时的方面。
它由刚开始的机械式秒表发展到今天所常用的数字式秒表。
秒表的计时精度越来越高,功能越来越多,构造也日益复杂。
本次数字电路课程设计的电子秒表的要求为:秒表最大计时值为99.99秒;分辨率为0. 1秒;具有启动计时、停止计时、清零等控制功能。
针对上述设计要求,我们先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件,并仔细阅读《电子技术基础实验》中实验十四《电子秒表》的设计相关资料。
工作安排方面:我们首先在课程设计的要求下设计出了数字式秒表的整体电路框图,将其分基本RS触发器,单稳态触发器,时钟发生器,计数及译码显示装置四个部分。
其次我们对每个单元电路进行设计分析,对其工作原理进行介绍。
完成了单元电路设计分析之后,进行总电路的拼接与调试,最后对总电路图进行分析,写出最终系统综述。
完成总电路的设计与分析之后,对资料与设计电路进行整理,排版,完成课程设计报告。
目录前言 (2)目录 (3)摘要 (4)关键字 (4)设计要求 (4)正文 (5)第一章系统概述 (5)第二章单元电路设计与分析 (6)一、时钟发生器电路设计 (1)二、计数及译码显示电路设计 (7)三、电子秒表的启动和停止电路设计 (7)四、电子秒表的清零电路设计 (8)第三章总体电路图 (9)参考文献、结束语 (10)主要器件及功能表 (10)收获与体会,存在的问题等 (13)课程设计评阅书 (15)电子秒表摘要第一章:系统概述简单介绍数字式秒表的系统设计思路,画出系统框图,并全面介绍总体工作过程或工作原理。
第二章:根据总功能框图的功能划分,分块设计单元电路,对每个单元路进行设计分析。
第三章:数字式秒表总电路图的给出,以及对系统进行综述。
电子秒表电路的设计
摘要在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。
数码显示器就是用来显示数字、文字或符号的器件。
七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。
充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。
利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。
利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。
利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。
ﻫ关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。
ﻬ1电子秒表简介电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。
电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。
本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。
图1-1 电子秒表电路的基本组成框图2单元电路设计及相关元器件的功能简介2.1基本RS触发器本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。
属低电平直接触发的触发器,有直接置位、复位的功能。
其功能表如表2-1所示。
RSQn Qn+1功能0 0 0 不用不允许0 0 0 不用0 1 00 Qn+1=0,置00 1 1 01011Qn+1=1,置110 0 11 1 11Qn+1=Qn,保持1 1 0 0表2-1 基本RS触发器如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。
切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。
数字秒表的电路设计1
数字秒表的电路设计
一、设计目的
1.掌握数字秒表的设计、组装与调试方法。
2.熟悉集成电路的使用方法。
二、设计任务与要求
1.设计任务
设计一个能以两位数显示的数字秒表。
2.设计要求
基本要求:
(1) 两位数码显示功能,能够从“0”到“59”依次显示,显示到“59”时,蜂鸣器发出报警。
(2) 具有停摆和清零功能。
发挥部分:
自动报警时,在56秒时,自动发出鸣响声,步长1s,每隔1s鸣叫一次,前两响是低音,最后一响结束为下一个循环开始。
3.设计步骤
(1)根据课题,查阅相关资料。
(2)根据提供的元器件,画出系统原理框图,确定基本电路。
(3)用Multism进行仿真验证,修改。
(4)用万能电路板焊接电路,并调试。
(5)撰写课程设计报告。
三、提供的器材清单。
数字秒表设计报告
摘要要求设计一个计数范围在0.0-9.9秒的数字秒表,精确度为0.1秒。
电路设计基本包括0.1秒脉冲发生器、信号控制端、整形电路、计数电路、译码电路和显示器这几部分构成。
0.1秒脉冲发生器由555定时器构成的多谐振荡电路实现,信号控制端由D触发器实现,即74LS74N,能够对整个电路进行清零、计数、停止和复位的作用。
计数器由两个十进制BCD 码74LS160级联而成。
在计数器的四个输出端分别接译码器的四个置数端,译码器由74LS48实现。
这个电路设有两个开关s1,s2,来实现对电路的清零、计数、暂停、复位的控制。
这样,一个简易的数字秒表便设计完成了。
关键字:555定时器、D触发器、编码、译码ABSTRACTDesign a digital stopwatch counting range in 0.0-9.9 seconds, accuracy of 0.1 seconds. Basic including 0.1 second pulse generator circuit design, signal control terminal, shaping circuit, counting circuit, decoding circuit and a display of this a few parts. More than 0.1 second pulse generator composed of 555 timer harmonic oscillation circuit implementation, signal control comprised D flip-flop, namely 74LS74N, can be reset to the whole circuit, counting, stop and reset. Two decimal counter by BCD 74LS160 cascade. In the four output end of the counter four load respectively at the decoder side, decoder by 74LS48 implementation. This circuit is equipped with two switch S1, S2, to implement to reset circuit, counting, suspend, and reset the control. So will design a simple digital stopwatch is complete.Key Word:555 timer, D flip-flop, encoding and decoding目录摘要------------------------------------------------------------------------1 1.设计目的及要求------------------------------------------------------31.1设计目的-----------------------------------------------------------31.2设计要求-----------------------------------------------------------32.设计原理及分析------------------------------------------------------42.1设计构想框图-------------------------------------------------------42.2设计原理分析-------------------------------------------------------42.2.1多谐振荡电路------------------------------------------------42.2.2开关控制端与D触发器----------------------------------------52.2.3与非门电路--------------------------------------------------52.2.4显示译码电路------------------------------------------------53.制作过程--------------------------------------------------------------73.1布局连线-----------------------------------------------------------73.2调试---------------------------------------------------------------73.3遇到问题及解决方法-------------------------------------------------84.心得感悟--------------------------------------------------------------8参考文献------------------------------------------------------------------9附录附录一元器件清单------------------------------------------------------10 附录二电路图----------------------------------------------------------101.设计目的及要求1.1设计目的通过对数字秒表的设计,熟练掌握555定时器脉冲信号产生的原理和D触发器的功能及原理,利用所学的电子技术基础(模拟部分)知识,回顾脉冲信号产生、计数、编码、译码的原理机制,进行对生活中不可或缺的秒表的设计。
数字秒表电路的设计
数字秒表电路的设计一、设计要求设计用于体育比赛的数字秒表,要求:⑴计时器能显示 0.01s的时间。
m ⑵计时器的最长计时时间为 24h。
总体框图如图2所示二、模块及模块的功能⑴ 100进制计数器模块BAI见图2 .1, 输出值为 0.01s和0.1s。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bai isport( clr ,clk: in std_logic;bai1,bai0:out std_logic_vector(3 downto 0);c0: out std_logic);end bai;architecture bai_arc of bai isbeginprocess(clk, clr)variable cnt0,cnt1:std_logic_vector(3 downto 0); beginif clr ='0'thencnt0:="0000";cnt1:="0000";elsif clk'event and clk='1' thenif cnt0 ="1000"and cnt1 ="1001"thencnt0:="1001";c0<='1';elsif cnt0 <"1001" thencnt0:=cnt0+1;else cnt0:="0000";if cnt1 <"1001" thencnt1:=cnt1+1;elsecnt1:="0000";c0<='0';end if;end if;end if;bai1<=cnt1;bai0<=cnt0;end process;end bai_arc;⑵ 60进制计数器模块MIAO见图2.2,用于对秒和分的计数。
数字电路课程设计报告数字秒表
数字电路课程设计报告——数字秒表一、设计任务与技术指标:设计数字秒表,以实现暂停、清零、存储等功能。
设计精度为0.01秒。
二、设计使用器件:74LS00 多片74163 4片4511 4片NE555 1片二极管1枚LED 共阴极七段译码器 4 个导线、电阻若干三、数字秒表的构成:利用555 设计一个多谐振荡器,其产生的毫秒脉冲触发74LS163计数,计时部分的计数器由0.01s 位、0.1s 位、s 个位、和s 十位共四个计数器组成,最后通过CD4511 译码在数码管上显示输出。
由“启动和停止电路”控制启动和停止秒表。
由“接地”控制四个计数器的清零。
图1 电子秒表的组成框图四、实现功能及功能特点:(1)、在接通电源后秒表显示00:00,当接通计时开关时秒表开始计时。
(2)、清零可在计时条件下也可在暂停条件下进行。
(3)、解决了在使用163清零端和保持端时由于163默认的清零端优先级高于保持端造成的0.01秒位上无法保持到0.09的技术问题。
(4)、增加了数据溢出功能,由于是4位秒表,最多计到一分钟,当秒表到达一分钟时,秒表自动暂停显示在60:00秒处,此时二极管发亮,起警示灯作用。
清零后则可继续计时。
(5)、由于条件有限,我们自己用导线制作了电源、清零、暂停等开关以减少导线的拔插造成的面板的不美观。
下图为完整课程设计的实物图:五、课程设计原理:本课程设计由模6000计数器和其控制电路组成,模6000计数器功能由同步加法计数器74163和与非门74LS00组成。
74163的功能及用法:74163同步加法计数器具有以下功能:(1)、同步清零功能。
当清零端输入低电平,还必须有时钟脉冲CP的上升沿作用才能使各触发器清零,此过程为同步清零。
(2)、同步并行置数功能。
(3)、同步二进制加计数功能。
(4)、保持功能。
综上所述,74163是具有同步清零、同步置数功能的4位二进制同步计数器。
74163的应用:(1)、构成任意模的计数器将74163与少量门电路结合可构成任意模计数器。
数字秒表电路图
数字秒表电路图
数字秒表电路如图所示。
图中的5G5544(IC1)是一块石英钟集成电路,在电路中作为秒信号发生器使用。
5G5544从③、⑤脚输出周期为2S的脉冲,经由VD3、VD4和VT1组成的非逻辑电平转换电路后,可得到秒信号的输出。
CD4518(IC2)内部封装有两个相同的十进制计数器,所以可形成二位计数,如果需要更多位的计数,可以进行多级级联。
CD4511(IC3、1C4)是BCD-7段译码/驱动集成电路,它将十进制计数器输出的BCD码译成笔画码并驱动LED数码显示器显示所计秒数。
随着秒信号的不断加入,共阴极LED数码显示器会不断显示出计数的秒数。
图:数字秒表电路图S1是清零开关,当按下S1时,CD4518的Cr端便可得到一个正脉冲,使电路清零。
因为5G5544集成电路的工作电压为1.2-2V,所以需经VD1、VD2,降压后向其提供工作电源。
电子秒表计时电路的设计
电子秒表的设计摘要:目前数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。
例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能强,而且容易实现测量的自动化和智能化。
随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。
随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会,通过这种综合性训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。
本次毕业设计的题目是电子秒表.电子秒表是用于测量较短且较精确的时间,它在体育运动项目上有着广泛的应用.本次设计中应用了多种数字电路中的单元电路,如基本RS触发器、单稳态触发器、时钟发生器及计数器,译码显示器等.我相信通过本次实验,将进一步加深对各数字单元电路的理解,同时也对基础电子设计有一定的了解,为今后的学习和工作打下一定的基础。
关键词:电子秒表;基本RS触发器;单稳态触发器;时钟发生器;计数器;译码显示器The Design of Digital StopwatchAbstract: Current digital electronics technology has been widely used in computers, automatic control, electronic measuring instruments, TV, radar, communications and other fields. For example, in the modern measurement technology, digital measuring instrument is not only accurate than analog gauges, powerful, and easy measurement of automation and intelligence. With the integration of technology, particularly in large-scale and ultra large scale integrated circuit development, application of digital electronic technology will be more widely infiltrated into all sectors of the national economy, and will have more profound impact. With modern society, the rapid development of electronic technology, requires us to integrate theory with practice, digital circuit design the project so that we have this great opportunity, through this comprehensive training, our ability, practical skills, ability of comprehensive knowledge applications get better promotion.The course design is the subject of electronic stopwatch. Stopwatch is a shorter and more accurate for measuring time, it projects in sports has been widely used. The design of the application of a variety of digital circuit elements in the circuit, such as basic RS flip-flop, one-shot, the clock generator and counter, decoder display. I believe that through this experiment, will further deepen the understanding of the digital cell circuits, but also on the basis of a certain electronic design understanding for future study and work to lay a certain foundation.Keywords: electronic stopwatch, the basic RS flip-flop, one-shot, the clock generator, counters, decoding display目录1 电子秒表介绍.................................... 错误!未定义书签。
电子技术课程设计---秒表数码显示电路数字秒表电路设计
电子技术课程设计---秒表数码显示电路数字秒表电路设计一,课题名称秒表数码显示电路数字秒表电路设计二,设计要求1. 设计1MHz时钟:2.完成0~59小时59分59秒范围内的计时:3.通过按键设置计时起点与终点,计时精度为10ms:4. 计时暂停、恢复和清零功能;5. 计时时间报警功能;三,比较和选定设计的系统方案,画出系统框图1.1,课题分析与方案确定本题要求计数至59时59分59秒,所以要用到六个数码管。
计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。
暂停功能和清零功能通过开关进行控制。
最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。
1.2,总体设计方案及系统框图数字式秒表,必须有数字显示。
按设计要求,必须用数码管来做显示器。
题目要求59时59分59秒,则需要六个数码管。
要求计数分辨率为0.01秒,则需要相应频率的信号发生器。
总体上,采用六个74LS160计数器。
使用同步预置法,实现59时59分59秒的计时。
将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。
四,单元电路设计、参数计算和器件选择1.1,各个模块单元设计74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。
功能表:图20引脚图:图21逻辑符号及其引脚功能图:图2274ls160中的ls代表为低功耗肖特基型芯片。
74160为标准型芯片。
结构功能一样。
2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。
基于数字电路的电子秒表课程设计
电子秒表摘要电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。
它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。
由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。
计时系统由计数器、译码器、显示器组成。
计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。
译码器由74LS48构成,显示器由数码管构成。
清零,暂停功能由RS触发器构成防抖动开关。
具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。
该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。
关键词:计时精度计数器显示器AbstractElectronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter.Keyword:Timing accuracy counter display目录一设计任务与要求 (1)二方案设计与论证 (1)三单元电路设计与参数计算 (6)(1)信号发生器单元电路 (6)(2)计数器单元 (9)(3)显示及译码单元电路 (12)(4)控制单元电路 (14)四总原理图及元件清单 (15)五结论与心得 (17)六参考文献 (18)一、设计任务与要求用74系列数字器件设计一个电子秒表,要求:1.以0.01秒为最小单位进行显示。
数字秒表电路课程设计
数字秒表电路课程设计一、课程目标知识目标:1. 学生能够理解数字秒表电路的基本原理,掌握计时器的工作机制。
2. 学生能够描述集成计时芯片的主要功能及其在数字秒表电路中的应用。
3. 学生能够运用电子元件搭建简单的数字秒表电路,并解释电路中各元件的作用。
技能目标:1. 学生能够运用所学知识,进行简单的电路图设计和电路搭建。
2. 学生能够操作示波器等测试设备,对数字秒表电路进行调试和故障排查。
3. 学生能够通过小组合作,完成数字秒表电路的搭建和测试,培养实际操作能力。
情感态度价值观目标:1. 学生能够认识到电子技术在日常生活和科技发展中的重要性,激发对电子学科的兴趣。
2. 学生通过实践操作,培养动手能力、观察力和解决问题的能力,增强自信心。
3. 学生在小组合作中学会沟通、协作,培养团队精神和集体荣誉感。
课程性质:本课程为电子技术实践课程,旨在帮助学生将理论知识应用于实际操作中,提高学生的实践能力和创新能力。
学生特点:学生处于高中阶段,具备一定的电子技术基础,对实践操作有较高的兴趣和好奇心。
教学要求:教师应结合学生特点,注重理论与实践相结合,引导学生主动参与实践,培养其动手能力和团队协作能力。
通过课程学习,使学生达到上述课程目标,为后续电子技术课程打下坚实基础。
二、教学内容1. 理论知识:- 数字电路基础知识:逻辑门、触发器、计数器原理。
- 集成计时芯片介绍:常见集成计时芯片的引脚功能、内部结构及其工作原理。
- 数字秒表电路原理:秒表的计时机制、显示原理。
2. 实践操作:- 电路图设计:学生根据理论知识,设计简单的数字秒表电路图。
- 电路搭建:学生利用电子元件,按照设计好的电路图搭建数字秒表电路。
- 电路调试与测试:学生使用示波器等设备,对搭建的数字秒表电路进行调试和测试。
3. 教学大纲:- 第一课时:数字电路基础知识回顾,集成计时芯片介绍。
- 第二课时:数字秒表电路原理学习,电路图设计。
- 第三课时:电路搭建,小组合作进行实践操作。
双计时数字秒表电路设计
数字秒表可实现两组计时,计时范围为00'00''00(百分秒)~59'59''99;秒表具有“清零”、“启动”、“一次停表”、“二次停表”、“显示切”等操作功能,要求仅设置两个操作键,按“一次计时”或“两次计时”不同工作模式组合运用,实现上述操作。
通过此次创新实验提高设计电路分析电路的能力,通过练习电路的连接,加深对课本上知识的理解,以及知识的应用,锻炼了同学的动手能力以及焊接电路的能力
第二个控制键是控制一个东西,使其进行1和0的转换,其引出两个输出端,一个直接控制一个373的输出端,另一个经非门控制另一个373的输出端
2、实验内容
内容一:设计双计时秒表电路,运用Multisim软件进行设计。
1、计数部分
2、控制模块
3、运行中
一次停表
二次停表
内容二:根据仿真的电路图连接实物图
1、根据电路图找所需芯片。
锁存部分使用的是6个74HC373,通过控制其中3个373的输入控制端实现对数的锁存(即一次停表的时间点的锁存)。通过控制其输出控制端,进行一次和二次停表的时间点的切换。
第一个控制键是用来控制一个74HC160的,这个74HC160是被改装成只可计00、01、10和11,这4个状态,利用者四个状态的输出信号经过一些门电路的转换使其00为清零,01为开始计数,10为一次停表(表仍在走),11为二次停表(表停止)。
(4)、模块整合,将各个模块统一整合为一个整体。
(5)、总体运行仿真电路,进行细微改进及调试。
(6)、根据仿真电路图进行连接实物。
(7)、启动双计时秒表,进行实物实际测试。
五、实验结果与分析
1、实验现象、数据记录
数字秒表可实现两组计时,计时范围为00(秒)~59(由于所需器件量的问题,其它部分没做出来);秒表按键实现“清零”、“启动”、“一次停表”、“二次停表”、“显示切换”等操作功能。通过数码管显示时间。
数字秒表电路报告
-课程名称:数字电子技术课程设计题目:电子秒表电路学生姓名:专业:班级:学号:指导教师:日期:年月日电子秒表电路一、设计任务与要求要求设计一个数字秒表,用于短时间测量,适用于田径比赛等竞技场合计时使用。
(1)计时范围:0~10分钟(2)显示分辨率为1s/10。
(3)用一只按钮开关控制三种工作状态,即:清零计时停止二、方案设计与论证实验要求设计一个用于短时间测量的电子秒表,根据学过的相关知识可以知道和题目的要求,电路应该分为分为3个部分,分别是计数脉冲产生电路、计数电路、和状态控制电路。
电路的框图描述如下图:由上面的电路模块图,我们讨论得到下面两个方案方案一、用一个555定时器做出多谐振荡电路为计数电路提供计数脉冲,通过调节外围电阻R1、R2和电容C的值使振荡电路产生10Hz的计数脉冲(即周期为0.1秒的信号)。
用74LS160计数器做成3级计数电路,分别是十进制的0.1秒计数电路、60进制的秒计数电路和十进制的分计数电路。
用74LS160做成3进制计数电路并配合74139二线四线译码器做成状态控制电路,使计数电路在清零、计数、停止3个状态之间转换。
方案二、用石英晶体构成石英晶振脉冲发生器。
计数电路是74LS160串接构成的600进制计数器最多可以计数到600秒(10分钟)这样控制起来比较方便、控制电路同方案一。
最终方案:方案一。
由于对方案二的石英晶振电路原来不是很熟悉,并且方案二的计数显示不符合人的一般思维方式,因此选用方案一作为最终方案。
三、单元电路设计与参数计算根据上面的讨论,方案包含3大单元:计数电路、状态控制电路、计数脉冲产生电路。
下面分别对个单元进行设计和参数的计算。
(1) 计数脉冲产生电路由于555定时器在数字电子和模拟电子中都要重要的应用,并且使用起来比较简单,只需接少量的电阻电容等外围元件,就可以构成施密特触发电路、单稳态电路和多谐振荡电路,所以本方案最终选用了555定时器来做计数脉冲产生电路。
数字秒表电路设计
目录1、课程设计目的 (3)2、课程设计和要求 (3)2.1、设计内容 (3)2.2、设计要求 (3)3、设计方案 (3)3.1、设计思路 (3)3.2、工作原理及硬件框图 (5)3.3、硬件电路原理图 (5)3.4、PCB版图设计 (5)4 、课程设计总结 (5)5、主要参考文献: (6)1、课程设计目的①掌握电子电路的一般设计方法和设计流程;②学习使用PROTEL软件绘制电路原理图及印刷板图;③掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确性。
2、课程设计内容和要求:2.1、设计内容设计一个数字秒表,要求如下:数字秒表的计时范围是0s~59分59.99秒,显示的最长时间为59分59秒。
1.数字秒表的计时精度是10ms。
2.复位开关可以在任何情况下使用3.具有启/停开关。
2.2、设计要求①课程设计说明书;②电路原理图和印刷板图;③仿真图形和仿真结果。
3、设计方案3.1、设计思路.用555定时器构建多谢振荡器,其中R1=4.8k R2=9.6k C1=10uf C2=0.01uf Vcc=5V 可以产生周期为0.01S的矩形时钟周期。
将六个七段数码显示器在板面依次排开,并将7448芯片依次对应放在七段数码显示器的下方,用于连接数码显示器和74160芯片,然后将六个74160芯片依次放在对应的下面,具体连接如上图,此图为整个原理图的一部分,为分钟显示部分.3.2、工作原理及硬件框图原理说明原理即通过两个74160芯片构成一个一百进制毫秒显示器并通过7448芯片连接的数码显示器显示出毫秒来,其进位输出与秒钟芯片的时钟相连,分钟与秒钟两显示部分与毫秒钟显示部分原理相同,秒钟即用两个74160芯片连接成一个六十进制秒钟显示器并通过7448芯片连接的数码显示器显示出来,其进位输出端与分钟芯片的时钟相接.由此构成了一个数字秒表,其显示范围为59分59秒99毫秒具体连接为,将数码显示器与7448芯片的a到f对应相接,7448芯片的A到D端口与74160芯片的Q0到Q3端口对应相接,A,C两端接至一个与门,两个时钟信号端相接流程图3.3、硬件电路原理图3.4、PCB版图设计4、课程设计总结课程设计对我们大学生来说是很重要的一部分,它很好的将我们所学的知识加以运用,理论与实践相结合,使我们对所学的知识了解得更深刻更透彻,同时,我们对protel和wewb软件更加熟悉和了解了.也为我们以后的毕业设计奠定了基础.5、参考文献①童诗白.模拟电子技术基础.北京:高等教育出版社,2002②张建华.数字电子技术.北京:机械工业出版社,2004③陈汝全.电子技术常用器件应用手册.北京:机械工业出版社,2005④毕满清.电子技术实验与课程设计.北京:机械工业出版社,2005⑤潘永雄.电子线路CAD实用教程.西安:西安电子科技大学出版社,2002⑥张亚华.电子电路计算机辅助分析和辅助设计.北京:航空工业出版社,2004。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字秒表电路设计
电信1205班 钟杰瑛 学号 U201213508
一、设计任务及要求
设计一个数字秒表电路,其功能要求是:
(1) 设计一个用来记录短跑运动员成绩的秒表电路,能以数字的形式显示时间; (2) 秒表的计数范围为0.01~59.99S ,计时精度为10mS ;
(3)通过两个按键来控制计时的起点和终点,一个是清零按键,用于设置秒表为初始状态,另一个则是开始/停止按键,在清零无效时,按一下开始/停止键,则计时器开始计时,再按一下则暂停计时,再按一下则继续计时。
二、系统组成框图
本系统采用层次式设计方法设计,系统由时钟脉冲产生电路,可预置计数器,毫秒计数、秒计数、控制电路、译码及显示电路,及消抖动电路等部分(模块)组成。
系统组成框图如
图1所示。
系统工作原理是:由时钟脉冲产生电路产生频率为100Hz 的脉冲信号接入暂停/继续控制电路,由该电路通过按键控制毫秒、秒计数器的暂停计数/继续计数。
由控制电路输出的毫秒脉冲输入到毫秒计数器,毫秒计数器(二级毫秒计数器)计数到100毫秒时向秒计数器进位,秒表计数至59.99秒时停止计数。
毫秒,秒计数器输出分别经毫秒,秒译码器译码后送至各自的LED 数码显示器。
三、系统电路设计
1.时钟脉冲产生电路
时钟脉冲产生电路选用NE555定时器组成多谐振器,产生100Hz 脉冲给毫秒计数器。
电路及其工作波形分别图2(a )、(b )所示。
其工作原理是:
① 接通电源后,电容C 被充电,C V 上升,当C V 上升到CC V 3
2
时,触发器被复位,同
时定时器内部的放电三极管D T 导通,此时o V 为低电平,电容C 通过2R 和D T 放电,使C V 下
降;
② 当C V 下降到CC V 3
1时,触发器又被置位,o V 翻转为高电平。
电容器C 放电所需时间为
图1 数字秒表系统组成框图
C R C R t PL 227.02ln ≈=
③ 当C 放电结束时,D T 截止,CC V 将通过1R ,2R 向电容器C 充电,C V 由CC V 3
1上升到CC V 3
2所需时间为
C R R C R R t PH )(7.02ln )(2121+≈+=
④ 当C V 上升到CC V 3
2时,触发器又发生翻转,如此周而复始,在输出端就得到一个周
期性的方波,其频率为
C
R R t t f PH PL o )2(43
.1121+≈
+=
由于555内部的比较器灵敏度较高,且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。
图2(a)所示电路各元件参数的选择如下 由
C
R R f o )2(43
.121+=
选用标称值电容1μF C =, 则
1226
1.43 1.43
2110110o R R f C -+=
=
⨯⨯⨯ 314.31014.3K =⨯Ω=Ω
取1 5.1k ΩR =,则29.2k ΩR =。
考虑到电阻、电容的允许误差通常为±10%,为使振荡器输出为100Hz 脉冲信号,2R 选用k Ω10电位器代替。
2.暂停/继续控制电路设计
图2由555组成多谐振荡器及其工作波形
t
V 2
3
13
(a)(b)电路
工作波形
暂停/继续控制电路由四位二进制计数器74LS191、3输入与门74LS11组成,电路如图3所示。
图中反相施密特触发器CC40106用于消除按键的抖动信号。
74LS191功能表如表1所示。
由表1可知,当计数使能控制端CT 0=,置
数控制端LD 1=,加/减计数控制端U/D 0=,
当时钟脉冲输入端送入时钟脉冲时,实现加法计数。
74LS191计数时序真值表如表2所示。
当74LS191预置数据输入端30D ~D 均接地,接通电源时,其状态输出端30Q ~Q 的初始
状态均为0。
通过按键2S 及CC40106给74LS191提供时钟脉冲。
由于6IC 在时钟脉冲上升沿开始计数,当2S 未按下时,CP 为高电平;当2S 按下时,CP 为低电平;而在2S 松
开后,CP 又为高电平,当计数值未到规定的59.99S 时,74LS30输出端始终是高电平。
由图3和表2,当按动奇数次2S 时,6IC 的0Q 1=,74LS11门被打开,计数器开始计数;当按动偶数次2S 时,6IC 的0Q 0=,74LS11门被封锁,计数器停止计数,实现设计任务功能3
的要求。
3.毫秒,秒计数电路及译码、显示电路设计
毫秒,秒计数电路均采用可预置十进制加/减计数器74LS192,各计数器均采用十进制计数操作。
计数及译码、显示电路如图4所示。
74LS192功能表如表3所示。
图4中,低位毫秒计数器1IC 的U CP 端接NE555产生的100Hz 脉冲信号,D CP 端接高电平,高位毫秒计数器的U CP 端接1IC 的进位输出端CO 。
对于秒计数器,低位秒计数器3IC 的U CP 接2IC 的CO 端,高位秒计数器4IC 的U CP 端接3IC 的CO 端,计数器使能控制端按表3进行加计数设置,则可完成各计数器的十进制加计数操作。
图4中,译码器采用BCD-7段译码器CD4511,数码管采用共阴极数码管。
由于本系统显示电路是显示计数值,故CD4511试灯端LT ,灭灯端BL ,均接V 5+,选通/锁存端LE 接地,各译码器8421BCD 码输出端分别接十进制计数器各输出端,完成0.01~59.99S 显示。
4.消抖动电路设计 (1)按键抖动产生原因
通常的按键所用开关为机械弹性开关,由于机械式开关的核心部件为弹性金属簧片,因而在开关切换的瞬间会在接触点出现来回弹跳的现象。
虽然只是进行了一次按键,结果在按键信号稳定的前后出现了多个脉冲,如图5所示。
如果将这样的信号直接送给集成计数器的
LD CP 操作置数CT 0000101011加计数减计数保持
U/D 表1 74LS191功能表
图3 暂停/继续控制电路
00Q 3Q 2Q 0Q 1000011001100000110011000101101CP 0
1234567891011010001011010101112131415
1100110111101
1
1
1
表2 74LS191计数真值表
CP D
CP U
LD
CR
操作11
111
11
00100清零置数加计数减计数保持
表3LS192功能表
74
时钟输入端,将可能把按键稳定前后出现的脉冲信号当作按键信号,这样就会出现人为的一次按键但计数器以为多次按键现象。
为了确保按键识别的准确性,在按键信号抖动的情况下不能进入状态输入,为此就必须对按键进行消抖处理,消除抖动时不稳定、随机的电压信号。
机械式按键的抖动次数、抖动时间、抖动波形都是随机的。
不同类型的按键其最长抖动时间也有差别,抖动时间的长短和按键的机械特性有关,一般为5~10mS 。
(2)按键消抖电路的设计
消抖是为了避免在按键按下或是抬起时电平剧烈抖动带来的影响。
按键的消抖,可用硬件或软件两种方法。
本系统使用的是硬件消抖的方法。
,按键消抖电路如图6所示。
当图中按键2S 未按下时,A 点为低电平。
,由于A 点与地之间接有一个容量为220PF 的电容,当按下2S 时,电容两端的电压不能跃变,因此A 点电压只能缓慢增加。
这就消除了由于键按下瞬间,由于按键弹性金属簧片的弹跳,造成A 点呈现快速的断续电压。
当2S 松开时,电容经要之并联的510Ω电阻放电,使A 点电压缓慢下降,再经施密特触发器修整波形,就得到应该比较标准的脉冲波输出。
图5 按键抖动波形图
220
C 图6 按键消抖电路。