循环彩灯电路设计报告

合集下载

数字电路课设彩灯循环控制电路设计

数字电路课设彩灯循环控制电路设计

《数字电子技术课程设计》报告——彩灯循环控制电路设计摘要本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。

通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。

用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。

由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。

之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,及其相连接的LED 会变亮。

LED采用共阳极连接,并串上500Ω的电阻。

电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。

电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。

整体电路采用同步电路模式,采用TTL集成电路,电压V cc均为5V。

运用了所学的555定时器、译码器、计数器及逻辑门电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识及理解,在实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。

关键词:555定时器计数器译码器彩灯循环控制目录引言01.课程设计目的22.课程设计要求23.电路组成框图44.元器件清单55.各功能块电路图55.1 脉冲信号发生器55.1.1 555定时器55.1.2 多谐振荡器85.2 顺序脉冲发生器105.3 彩灯循环系统156.仿真电路总图177.结果分析178.总结18参考书目19附录20引言数字电子技术实验是一门重要的实践性技术基础课程,开设本课程的目的在于使学生理论联系实际,在老师的指导下完成大纲规定的实验任务。

八路循环彩灯设计报告

八路循环彩灯设计报告

课程设计说明书(论文)题 目: 循环彩灯电路设计课程名称: 数字电子技术学 院: 电子信息与电气工程学院学生姓名: 李振振学 号: 201202010115专业班级: 自动化2012级2班指导教师: 翟亚芳2014 年 6 月 6日课程设计任务书循环彩灯电路设计摘要:设计了一个循环彩灯电路,该电路可以实现8个彩灯从左到右依次点亮,然后依次熄灭,且点亮和熄灭的时间间隔均为1秒的功能。

该电路主要由555定时器、74LS164移位寄存器、74LS00与非门、LED等组成,555定时器提供周期为1s的脉冲信号,74LS164和74LS00共同提供顺序脉冲,从而达到设计要求。

经Multisim仿真测试,该电路可以实现功能要求。

利用Altium Designer软件对电路进行了原理图设计和PCB设计,并对电路进行了安装和调试,调试结果正常。

关键词:循环彩灯;555定时器;74LS164移位寄存器;74LS00与非门;电路仿真;PCB设计目录1.设计背景 (1)1.1数字电路的介绍 (1)1.2时钟电路的作用及基本结构 (1)1.3Multism和Aultism软件的功能及使用 (1)2.设计方案 (2)2.1 课题任务 (2)2.2 任务分析 (2)3.实施方案 (2)3.1原理图设计 (2)3.2电路仿真 (5)3.3 PCB制作 (7)3.4安装与调试 (7)4.结果与结论 (8)5.收获与致谢 (8)6.参考文献 (9)7.附件 (9)7.1电路原理图 (9)7.2仿真图 (11)7.3PCB布线图 (11)7.4实物图 (12)7.5元器件清单 (13)1.设计背景1.1数字电路的介绍数字电子技术是信息、通信、计算机、自动控制等领域工程技术人员必须掌握的基本理论和技能。

数字电路系统的主要内容:数值、逻辑门电路、数模/模数转换电路、半导体存储器等。

数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。

彩灯循环显示控制电路设计研究报告

彩灯循环显示控制电路设计研究报告

彩灯循环显示控制电路设计研究报告初始条件:74LS160计数器、74HC390计数器、74HC139译码管、74HC113JK触发器,555定时器、数码管和必要的门电路,以及电容电阻等基本模拟电路电子器件等。

摘要这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个数码管显示出来。

这里使用的只要就是计数器,计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于分频,定时,产生节拍脉冲以及其他时序信号。

运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。

而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。

为了实现这个循环输出的功能,在设计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

最后还有一个部分就是脉冲的产生基于多谐振荡器可以产生方波,就可以利用它来产生脉冲信号了。

而这个多谐振荡器采用的是555定时器来完成的,另外,直接用脉冲信号源提供方波。

这个设计基本上就是由以上三个部分连接在一起组成的。

1整体电路方框图图1 基本方框图这个电路框图可以实现设计的要求,依次输出自然数列,奇数序列,偶数序列还有音乐数列,各序列可通过同一芯片的不同接法实现,也可用不同芯片实现,而且还可以通过一个循环电路使之循环输出,序列的输出顺序由译码器控制,由数码管输出各序列,其显示的间隔时间也可以通过调节脉冲信号的频率来进行调整,而脉冲信号可由脉冲产生电路实现,也可直接用脉冲信号源。

2设计方案选择2.1数列循环部分方案一图5 用74LS194构成的循环电路原理图这个电路图实现循环主要是依靠74LS194的移位功能来完成的。

先让开关J1拨至与电源相接,就是接入高电平,这样移位寄存器有了脉冲信号之后就可以实现置数的功能,四个输出端为1000,再将开关J1拨至与地相接也就是接入低电平,这时寄存器就可以实现移位的操作了,然后通过脉冲信号的触发下,寄存器的输出就可以从1000→0100→0010→0001,这样依次循环了。

循环彩灯课程设计报告

循环彩灯课程设计报告
CD4017 有 10 个译码输出端 Q0~Q9,它仍随时钟脉冲的输入而依次出现高电 平。此外,为了级联方便,还设有进位输出端 QC,每输入 10 个时钟脉冲,就可 得到一个进位输出脉冲,所以 QC 可作为下一级计数器的时钟信号。
从上述分析中可以看出,CD4017(它的基本功能是对“CP”端输入脉冲的个 数进行十进制计数,并按照输入脉冲的个数顺序将脉冲分配在 Y0~Y9 这十个输 出端,计满十个数后计数器复零,同时输出一个进位脉冲。我们只要掌握了这些 基本功能就能设计出千姿百态的应用电路来。 四、电路原理图仿真 1.仿真软件
《数电课程设计》
循环彩灯控制电路
学 院: 专 业:电气工程与自动化 指导老师: 班 级: 姓 名: 学 号: 合 作 者:
一、设计背景
本次循环彩灯的设计制作由 555 定时器、CD4017 构成的中规模集成电路来
实现,其中 555 定时器组成的多谐振荡电路频率为 1Hz,CD4017 实现八进制加法
① 彩灯只有一种花样变化,没有其它的花样: 可能是计数器的芯片 74LS194 出现问题,应该检查循环控制逻辑电路。检查 是否正确接线,芯片是否功能完好。特别注意不能把非门的输入与输出接反了。 ② 彩灯无规律变化: 原因可能是由 555 定时电路产生的时钟脉冲信号不稳定,或者是在 555 电路 中没有标准的计算各电阻的阻值,电解电容,陶瓷电容的使用是否正确。 ③ 彩灯在移动过程中是两个灯一起跳 可能是是芯片 74LS194 在面包板上面没有接稳定,应该检查芯片是否通电, 各高低电平是否正常工作。应该检查导线是否有问题。 ④ 实验过程中灯一会亮一会不亮 最后可能是导线的接触不良问题,应该首先从电源是否良好的接入电路开始 检查,再检查 555 定时电路时候正常工作,最后检查芯片管脚电压。 ⑤ 有的灯亮,有的灯不亮 运用数字万用表检测是否是 CD4017 输出端的引脚出现故障。 七、理论值与实际值 理论结果:八路彩灯依次发光,亮灯时间为 1 秒,然后进入下一个循环。

循环彩灯电路设计报告.

循环彩灯电路设计报告.

《数字电子技术基础》课程设计报告设计题目:彩灯循环控制器的设计专业:班级:姓名:学号:指导教师:设计日期: 2014 年 6 月课程设计评审意见(1)设计阶段(30分)——硬件电路运行情况优()、良()、中()、一般()、差();(2)报告(60分)——对于课程设计报告撰写的整体评价优()、良()、中()、一般()、差();(3)平时表现(10分)——课程设计过程中的表现优()、良()、中()、一般()、差();总评分数:优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。

态度端正,课程设计期间无违纪行为。

良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。

考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。

中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。

一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。

差()课程设计过程中表现不佳,未能完成课程设计要求的内容。

评阅人:2014年6月25日注:优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)目录引言 (1)第一部分:设计方案设计 (2)1.1方案选择: (2)1.2功能设计及分析 (2)1.2.1 时钟信号功能设计...............................................错误!未定义书签。

1.2.2 花型控制功能设计 (2)1.2.3 花型演示功能设计 (3)1.3 原理图总图及说明 (4)第二部分:硬件调试总结 (5)2.1 元器件清单及说明 (5)2.2 硬件调试 (9)第三部分:总结 (10)3.1 设计小结 (10)3.2 心得体会 (11)参考文献 (11)附录 (12)引言现在绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。

制作循环彩灯实训报告

制作循环彩灯实训报告

一、实训目的通过本次实训,使学生掌握电路设计与制作的基本方法,提高动手能力和电路调试能力。

同时,培养学生的创新意识和团队合作精神,学会运用所学知识解决实际问题。

二、实训内容本次实训的主要内容包括:1. 确定电路设计方案;2. 制作电路板;3. 组装电路元件;4. 调试电路;5. 撰写实训报告。

三、实训过程1. 确定电路设计方案根据实训要求,我们设计了一种八路循环彩灯电路。

该电路主要由555定时器、74LS164移位寄存器、LED灯、电阻、电容等元件组成。

电路工作原理如下:- 555定时器产生一个周期为1秒的方波信号;- 74LS164移位寄存器将方波信号进行移位,控制LED灯的点亮顺序;- LED灯依次点亮,形成循环效果。

2. 制作电路板根据设计方案,我们使用PCB板制作电路板。

首先,在PCB板设计软件中绘制电路图,然后生成Gerber文件,最后将Gerber文件发送到PCB生产厂家进行制作。

3. 组装电路元件将制作好的电路板、555定时器、74LS164移位寄存器、LED灯、电阻、电容等元件按照电路图进行组装。

组装过程中,注意元件的焊接质量,确保电路的可靠性。

4. 调试电路组装完成后,进行电路调试。

首先检查电路板是否有短路、断路等问题,然后通电测试。

根据测试结果,调整电路参数,使LED灯能够正常循环点亮。

5. 撰写实训报告在实训过程中,详细记录电路设计、制作、调试的过程,分析出现的问题及解决方法,总结实训心得。

四、实训结果经过多次调试,我们成功制作了一款八路循环彩灯。

该彩灯能够按照预设的顺序依次点亮LED灯,形成循环效果。

电路稳定可靠,运行良好。

五、实训心得1. 在电路设计过程中,要充分考虑电路的可靠性和稳定性,选择合适的元件和电路参数。

2. 制作电路板时,要严格按照设计要求进行,确保电路板的精度和质量。

3. 组装电路元件时,要注意焊接质量,避免短路、断路等问题。

4. 调试电路时,要耐心细致,发现问题及时解决。

74ls175循环彩灯电路的设计

74ls175循环彩灯电路的设计

74ls175循环彩灯电路的设计
循环彩灯主要由桥式变压器、整流电路、滤波电路、稳压电路、555定时器、741s193计数器、3-8译码器等部分组成。

首先是将220V交流电通过变压器转换成较小的交流电,通过桥
式整流将电压加到直流负载上从而输出直流电压,通过滤波稳压从而实现其稳定的5V直流电压,使555定时器通过调节滑动变阻器实现
秒脉冲震荡器,加到计数器的加法或者减法脉冲端口实现8进制计数,通过译码器从而实现循环彩灯功能。

将220V交流电通过变压器转换成较小的交流电,通过桥式整流
后输出电压加到负载上,通过滤波稳压从而实现其稳定的5V直流电压,通过开关控制使555定时器通过调节滑动变阻器实现秒脉冲震荡器,通过秒脉冲加到741s193计数器的加法或者减法脉冲端口实现8进制计数,通过计数器的输出来改变7411s138的输入使译码器轮流
点亮发光二极管从而实现循环彩灯功能。

课程设计彩灯循环控制器的电路图的实验报告2

课程设计彩灯循环控制器的电路图的实验报告2

内蒙古农业大学课程设计课程名称电子技术综合设计与实训题目名称彩灯变换控制器设计学生学院机电工程学院专业班级电气工程及其自动化1班学号090511060学生姓名江谋伟指导教师曲辉2011年12 月12 日课程设计的内容设计任务及要求用一个LED数码管的每一段代表一组彩灯。

按数字循环显示3种序列:自然序列1,2,3,4,···奇数序列1,3,5,7偶数序列0,2,4,6,①具有显示,清零功能。

②数码管现实快慢连续调节(即计数时钟方波频率可调,0.5~2HZ)摘要这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个八段数码管显示出来。

这里使用的主要就是计数器,计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于定时,产生节拍脉冲以及其他时序信号。

运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。

而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列。

最后还有一个部分就是用7447译码器把74160输出的信号用数码管显示出来。

一前言让人眼花撩乱的广告彩灯随处可见,他将城市的夜晚装扮的美丽迷人,商家已无法离开广告彩灯这一非常有效的宣传武器。

利用价格低廉的普通集成芯片可实现很多种广告彩灯控制。

例如用单片机,8086,8088等高级芯片,实现彩灯的循环点亮等,本设计利用常用的555定时器及74ls系列器件设计8路彩灯电路。

二原理框图三芯片功能介绍1)555定时器 555定时器是8引脚集成器件,其工作原理为:输出电压只有两种状态:高电平低电平.在555定制器的复位端R 端为低电平的条件下,无论阈值输入端TH和触发输入端TB>Tc取何值,输出端OUT输出低电平,且放电端口D与地接通。

当复位端R为高电平时,如果阈值电压TH>2Vcc/3,且触发电压TR>Vcc/3,输出端OUT输出低电平,且放电端口D与地接通;如果阈值嗲电压TH<Vcc/3,且触发电压TR>Vcc/3,输出端OUT和放电端D保持原状态不变:只要触发电压TR<Vcc/3时,输出端OUT输出高电平,且放电端口D与地段开。

循环彩灯设计报告

循环彩灯设计报告

课程设计说明书课程名称:数字电子技术设计题目:循环彩灯院系:电子信息与电气工程学院学生姓名:安永军学号: 201002040062专业班级:电气工程及其自动化10-1指导教师:张天鹏翟亚芳杨欣2012年5月25日课程设计任务书设计题目循环彩灯学生姓名安永军所在院系电子信息与电气工程学院专业、年级、班电气工程及其自动化10-1任务要求:1、设计制作一个循环彩灯电路。

2、彩灯数量为8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。

学生应完成的工作:设计循环彩灯的工作原理,并利用Multisim软件进行电路仿真。

利用DXP 软件绘制电路原理图,并设计制作电路的PCB板。

根据设计原理对电路进行安装、调试,完成课程设计工作,并提交课程设计报告。

参考文献阅读:[1]张天鹏 Multisim 09、DXP 09视频教程[M].[2]童诗白华成英 .模拟电子技术基础[M].北京:高等教育出版社,2005.[3]程勇 .实例讲解Multisim 10 电路仿真[M].北京:人民邮电出版社,2010.[4]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006.[5]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005.[6]谷树忠刘文洲姜航.Altium Designer教程——原理图、PCB设计与仿真[M].北京:电子工业出版社, 2010.工作计划:5月14号—16号用 Multisim完成电路原理的设计及仿真;5月17号—18号用Altium Designer设计原理图和PCB设计;5月21号制作PCB板;5月22号--5月23 号发放元器件,安装焊接电路板;5月24号—25号电路板调试,提交课程设计报告。

任务下达日期:2010 年 5 月14 日任务完成日期:2010 年 5 月25 日指导教师(签名):学生(签名):安永军循环彩灯摘要:设计了一个循环彩灯控制电路,该电路具有彩灯8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。

循环彩灯电路设计

循环彩灯电路设计

.电子线路设计实验报告学生姓名:高尚专业班级:生产过程自动化技术1班指导教师:工作单位:工程学院实验题目:四花样彩灯控制器基本要求:设计一四花样自动切换的彩灯控制器,要求实现(1) 彩灯一亮一灭,从左向右移动;(2) 彩灯两亮两灭,从左向右移动;(3) 四亮四灭,从左向右移动(4) 从1~8从左到右逐次点亮,然后逐次熄灭;(5) 四种花样自动变换。

主要参考元器:555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。

1 设计思路循环彩灯控制可用多种方法实现,但对现代可编程控制器而言,利用移位寄存器实现最为便利。

通常用左移寄存器实现灯的单方向移动;用双向移位寄存器实现灯的双向移动。

控制程序中,关键在于数据移位方向的控制。

单方向控制的流水灯,使用左移寄存器可容易地实现。

如果流水灯的点亮顺序是双向的,则使用双向移位寄存器进行控制。

由于本次设计只是设计了单向的彩灯循环电路,所以彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。

秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。

同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。

2 方案论证2.1 备选方案方案一:在原方案的基础上,用模十六计数器74LS161的输出端的最高位QD 作为双D 触发器的时钟,可以少用一个555定时器。

计数器每计八个数,QD 由低电平变为高电平,双D 触发器的状态改变,四选一数据选择器74LS153选择下一种码输出,彩灯变为另一种花样,以后四种花样循环改变。

如图2-1所示:U1A74LS04D21U1B 74LS04D43U1C74LS04D65U1D 74LS04D 89U2B74LS08D456U2C74LS08D9108U42Y92C0102C1112C2122C313A 14B 2~1G 11Y71C061C151C241C3315U6QA 3QB 4QC 5QD6A 1B2~CLR9CLK8QE 10QF11QG 12QH1374LS164DQA 14QB 13QC 12QD11RCO 15A 3B 4C 5D 6ENP 7ENT 10~LOAD 9~CLR 1CLK2U374LS161DU7A1D 21Q5~1PR 4U7B2D 122Q9~2PR10图2-1 比较方案1电路图方案二:彩灯控制器电原理图如图2-2所示。

循环彩灯实训报告

循环彩灯实训报告

目录一、彩灯控制电路图原理分析 (2)二、循环彩灯控制电路Multisim的仿真 (2)三、循环彩灯控制电路Protel99SE的PCB及3D设计 (2)四、PCB板的制作 (5)五、元件的检测 (5)六、元件清单表 (7)一、彩灯控制电路图原理分析电路控制是通过三极管,有极性电容,电阻来控制发光二极管来实现的。

其中电路图通过R1,R2和V1来控制发光二极管D1。

电容C2,R3和V2来控制发光二极管D2,电容C3,R5,R6和三极管V3来控制发光二极管D3。

打开电源三个发光二级管全亮,同时我们可以在每一个发光二极管上并联一或几个二极管,随着二极管个数增加电路图的电压也要随之增大。

否则二极管不会全亮。

电路原理图如下:二、循环彩灯控制电路Multisim的仿真1、打开仿真软件multisim2、在出现的编辑区域内放置元件3、放置完元件后,连线并放置节点4、开始仿真,记录仿真结果5、保存文件三、循环彩灯控制电路Protel99SE的PCB及3D设计制作循环彩灯的PCB我们首先应该在Protel99SE里面建立一个原理图的文件,然后将文件打开,将我们的循环彩灯的原理图画在原理图文件夹里面,将其元件的编号和其封装正确的填写在元件属性栏里面。

然后将我们所画的原理图进行电气规则检查(有错误的话将原理图进行修正,并在电气规则检查都正确为止)。

没有错误然后再创建原理图的网络表创建。

并检测网络表中是否有元件的封装缺少(发现缺少我们饭后原理图进行添加)。

网络表无误我们将原理图保存并退出原理图的编辑文件。

我们将原理图绘制好了以后,然后在文件菜单建立一个PCB文件。

由于我们所用的元件不多,所以我选择做单面板。

进入文件点击菜单栏的设计中的选项将点开界面中的Toplay 前的“√”去掉。

然后分别在Otlayer和Bttom Slder面上规划好PCB的大小,然后加载网络表将我们之前做好的网络表加载上去。

检查无误之后Execute。

循环彩灯实验报告

循环彩灯实验报告

循环彩灯实验报告循环彩灯实验报告引言:灯光在我们生活中扮演着重要的角色,无论是室内照明还是舞台表演,灯光的运用都能够给人们带来不同的感受和体验。

在这个实验中,我们将探索循环彩灯的原理和制作方法,以及其在不同场景中的应用。

一、实验目的本实验的目的是通过制作循环彩灯来理解电路的原理,并了解灯光的运作机制。

同时,通过实践操作,培养我们的动手能力和创造力。

二、实验材料1. 彩灯:红、绿、蓝三种颜色的LED灯各3个2. 电阻:100欧姆、220欧姆、330欧姆各1个3. 电容:100μF、220μF、330μF各1个4. 电池:9V电池1个5. 面包板:1块6. 连接线:若干根三、实验步骤1. 将面包板连接到电池的正负极上,确保电路能够正常通电。

2. 将三种颜色的LED灯分别插入面包板上的不同位置,注意连接的极性。

3. 在每个LED灯的正极和负极之间分别插入不同电阻和电容,形成不同的电路。

4. 打开电池,观察LED灯的亮灭情况和颜色变化。

四、实验结果与分析通过实验观察,我们可以发现以下现象:1. 当电路中只有一个LED灯时,灯光亮起来,并且颜色与LED本身的颜色一致。

2. 当电路中加入电阻时,LED灯的亮度会有所变化,电阻越大,亮度越低。

3. 当电路中加入电容时,LED灯的亮度会有所变化,电容越大,亮度越高。

这些现象的发生可以通过以下原理解释:1. LED灯是一种半导体材料,当通过正向电流时,电子和空穴在半导体材料内复合,释放出能量,从而发出光线。

2. 电阻的加入会影响电流的流动,使得通过LED灯的电流减小,从而导致亮度的下降。

3. 电容的加入会使得电路中的电荷储存和释放更加平滑,从而使得LED灯的亮度更加稳定。

五、实验应用循环彩灯的制作不仅仅是为了理解电路的原理,还可以应用于各种场景中,例如:1. 舞台表演:通过控制循环彩灯的亮灭和颜色变化,可以创造出各种炫目的灯光效果,增加表演的视觉冲击力。

2. 节日庆典:在节日庆典活动中,循环彩灯可以装饰场地,营造出欢乐喜庆的氛围。

彩灯循环电路设计报告范文

彩灯循环电路设计报告范文

彩灯循环电路设计报告范文1. 引言本文是关于彩灯循环电路的设计报告。

彩灯循环电路是一种将多个彩灯按照一定的循环方式点亮的电路。

该电路可以应用于各种场景,如节日庆典、建筑装饰等。

本报告将介绍彩灯循环电路的设计原理、实施步骤、材料和测试结果。

2. 设计原理彩灯循环电路由以下几个主要部分组成:- 电源:提供工作电压和电流。

- 控制器:控制每个彩灯单元的亮灭状态。

- 彩灯单元:独立的彩灯模块。

设计原理如下:1. 电源从交流电源中变换为所需的直流电压和电流。

2. 控制器接收外部信号或者根据设定的规则来控制每个彩灯单元的亮灭状态。

控制器可以是集成芯片或者微控制器。

3. 彩灯单元由发光二极管(LED)组成,通过控制器控制其亮灭状态。

3. 设计步骤彩灯循环电路的设计步骤如下:1. 确定需求:明确彩灯的规模和所需的循环模式。

2. 选择电源:根据彩灯的功率需求选择合适的电源。

3. 设计控制器电路:根据规定的循环模式设计控制器电路。

4. 选择彩灯单元:选择适合的发光二极管作为彩灯单元。

5. 连接电路:将电源、控制器和彩灯单元连接起来,并进行必要的电气隔离和保护。

6. 调试和测试:通过供电和控制器测试整个电路的功能和性能。

4. 材料完成彩灯循环电路需要以下材料:- 电源:交流电源变压器、整流电路、稳压电路。

- 控制器:集成芯片或者微控制器。

- 彩灯单元:发光二极管(LED)、电阻、连接线等。

- 连接线、电路板、电子元器件等。

5. 测试结果根据设计原理、设计步骤和材料列表,我们成功地完成了彩灯循环电路的设计和实施。

经过测试,我们得到了如下结果:1. 电路正常工作,电流和电压稳定。

2. 控制器可以根据设置的循环模式正确地控制彩灯单元的亮灭状态。

3. 彩灯单元的亮度和颜色符合预期。

6. 结论彩灯循环电路是一种将多个彩灯按照一定的循环方式点亮的电路。

通过合理地选择电源、设计控制器电路和选择彩灯单元,我们成功地实现了彩灯循环电路的设计和实施。

循环彩灯电路设计报告材料

循环彩灯电路设计报告材料

《数字电子技术基础》课程设计报告设计题目:彩灯循环控制器的设计专业:班级:姓名:学号:指导教师:设计日期: 2014 年 6 月课程设计评审意见(1)设计阶段(30分)——硬件电路运行情况优()、良()、中()、一般()、差();(2)报告(60分)——对于课程设计报告撰写的整体评价优()、良()、中()、一般()、差();(3)平时表现(10分)——课程设计过程中的表现优()、良()、中()、一般()、差();总评分数:优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。

态度端正,课程设计期间无违纪行为。

良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。

考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。

中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。

一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。

差()课程设计过程中表现不佳,未能完成课程设计要求的内容。

评阅人:2014年6月25日注:优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)目录引言 (1)第一部分:设计方案设计 (2)1.1方案选择: (2)1.2功能设计及分析 (2)1.2.1 时钟信号功能设计...............................................错误!未定义书签。

1.2.2 花型控制功能设计 (2)1.2.3 花型演示功能设计 (3)1.3 原理图总图及说明 (4)第二部分:硬件调试总结 (5)2.1 元器件清单及说明 (5)2.2 硬件调试 (9)第三部分:总结 (10)3.1 设计小结 (10)3.2 心得体会 (11)参考文献 (11)附录 (12)引言现在绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。

vhdl彩灯循环报告

vhdl彩灯循环报告

实验报告书实验项目名称:彩灯循环控制器的设计实验项目性质:综合性实验所属课程名称:VHDL程序设计实验实验计划学时:4一、实验目的掌握VHDL程序设计方法二、实验内容和要求1)彩灯能够自动循环点亮2)彩灯循环显示且频率快慢可调(可选)。

3)该控制电路具有8路以上输出在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。

三、实验主要仪器设备和材料计算机四、实验方法、步骤及结构测试1.方案设计与功能描述彩灯的循环是通过clk的计数实现的,当有一个高电平来到的时候,则通过Q=Q+1计数来实现。

当Q的值从“000”逐次加“1”到“111”的时候,通过判断Q的值是否为“111”的时候,进行清“0”,即变为“000”再次重新计数。

那么通过Q的状态值从“000”->“001”->“010” ->“011”->“100” ->“101”->“110” ->“111”->“000”的循环,实现了彩灯的循环。

彩灯的亮灭是通过判断Q的值来选择的,用case_when语句。

对于频率的调节,则是通过timer来控制,由人为的设定timer 的值,通过赋不同的初值来改变频率。

也是通过计数来分频的2.程序代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity light8a isport (clk,en,rst:in std_logic;timer:in std_logic_vector(3 downto 0);a,b,c,d,e,f,g,h:out std_logic);end light8a;architecture one of light8a issignal w:std_logic_vector(3 downto 0);signal couts:std_logic;beginprocess(clk,timer) ----------分频进程variable x : std_logic_vector(3 downto 0):=timer; beginif clk'event and clk='1' thenif x<4 then x:=x+1;else x:=timer;end if;end if;if x="0100" then couts<='1';else couts<='0';end if;end process;process(clk,en,rst,couts) ----------计数循环进程variable Q : std_logic_vector(3 downto 0);beginif rst='0'then Q:=(others=>'0');elsif clk'event and clk='1' thenif couts='1' thenif en='1' thenif Q<8 thenQ:=Q+1;w<=Q;if Q="1000" then Q:=(others=>'0'); -end if;end if;end if;end if;end if;end process;process(w) --------选择灯亮灭,即控制彩灯循环进程begincase w iswhen"0001"=>a<='1';b<='0';c<='0';d<='0';e<='0';f<='0';g<='0';h<='0'; when"0010"=>a<='0';b<='1';c<='0';d<='0';e<='0';f<='0';g<='0';h<='0'; when"0011"=>a<='0';b<='0';c<='1';d<='0';e<='0';f<='0';g<='0';h<='0'; when"0100"=>a<='0';b<='0';c<='0';d<='1';e<='0';f<='0';g<='0';h<='0'; when"0101"=>a<='0';b<='0';c<='0';d<='0';e<='1';f<='0';g<='0';h<='0'; when"0110"=>a<='0';b<='0';c<='0';d<='0';e<='0';f<='1';g<='0';h<='0'; when"0111"=>a<='0';b<='0';c<='0';d<='0';e<='0';f<='0';g<='1';h<='0'; when"1000"=>a<='0';b<='0';c<='0';d<='0';e<='0';f<='0';g<='0';h<='1'; when others=>null;end case;end process;end architecture one;4仿真分析当设定timer的值为1的时候,仿真图如下:当设定timer的值为3的时候,仿真图如下:通过仿真可知,timer可以控制频率快慢,且彩灯a,b,c,d,e,f,g,h能循环点亮5总结:通过这次是上机实验,进一步的巩固了我的VHDL的知识,基本掌握了VHDL的编程方法等。

循环彩灯控制器课程设计报告

循环彩灯控制器课程设计报告

摘要这次课程设计通过对彩灯的设计,训练对数字电子技术内容的应用能力,掌握对电子产品设计的流程以及各种要求。

彩灯技术已广泛得在霓虹灯、广告彩灯、汽车车灯等领域中应用。

动态霓虹灯应该聚而不散、不能为了追求“跳跃”而给人凌乱的感觉,不管采用何种色彩,何种图案,都应该有顺序地渐变和跳跃,给受众一种秩序感,主次感、并便于受众顺着有规律的节奏接着看第二次、第三次。

在设计制作时,既要给人以变幻的吸引力,又要主次分明,没有色彩和图案紊乱的感觉。

变换、闪烁、跳跃式的霓虹灯为营造欢乐、多姿多彩的生活正越来越受到人们的重视。

近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。

再设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的稳定性,降低成本。

因此用集成电路来实现个更多更复杂的器件功能则成为必然。

这次的课程设计用NE555,74LS194来实现彩灯循环的控制。

关键词 NE555 74LS194目录摘要.................................................................................................................................................1 绪论 01.1项目研究的背景及意义 01.2 彩灯控制系统研究的意义 01.3 国内外研究发现展 (1)2 设计题目:简易彩灯循环控制器 (2)2.1 设计主要内容及要求 (2)3 系统设计 (3)3.1 概述 (3)3.1.1彩灯信号输出部分 (3)3.1.2 双向移位寄存器74LS194的原理详解 (3)4 硬件调试 (6)4.1 芯片引脚及功能 (6)4.1.1芯片NE555 (6)4.1.2 芯片74LS194 (7)4.2发光二极管 (8)5单元电路设计 (8)5.1 555定时电路组成的多谐振荡电路后的示意图 (9)5.2 由74LS194组成的单组控制电路 (10)5.3电路总图 (11)5.4实物图 (12)6 硬件调试 (13)6.1调试步骤 (13)6.1.2接通电源观察 (13)6.1.3工作开关断开的情况下的调试 (13)6.1.4工作开关闭合的情况下的调试 (13)总结 (14)致谢 (15)参考文献 (16)附录1 (17)总电路图 (17)附录2 (18)元件清单 (18)1 绪论1.1项目研究的背景及意义近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。

彩灯循环控制电路设计

彩灯循环控制电路设计

彩灯循环控制电路设计一、引言彩灯是一种非常受欢迎的装饰品,特别是在节日和庆典等场合,人们总是用彩灯来烘托气氛。

为了实现彩灯的循环控制,我们需要设计一个电路来控制它们的开关。

二、电路设计原理彩灯循环控制电路的设计原理主要基于555定时器和74HC595移位寄存器。

555定时器是一种常用的计时器,它可以产生周期性方波信号,并且可以通过改变电容和电阻值来调节输出频率。

74HC595移位寄存器则是一种串行输入并行输出的芯片,它可以将串行输入的数据转换成并行输出,并且可以通过移位操作来控制输出端口。

三、电路设计步骤1. 选择合适的555定时器和74HC595移位寄存器芯片,并根据数据手册确定引脚功能。

2. 设计基本的555定时器电路,包括外部元件如电容和电阻等,并确定输出端口。

3. 将555定时器输出端口连接到74HC595移位寄存器输入端口,通过移位操作将数据传输到寄存器中。

4. 设计驱动彩灯的开关电路,包括三极管、继电器或场效应管等,根据需要选择合适的元件。

5. 将驱动电路连接到74HC595移位寄存器输出端口,通过移位操作控制彩灯的开关状态。

四、电路实现细节1. 555定时器的输入电压应该在5V左右,如果过高或过低会影响输出频率。

2. 74HC595移位寄存器的串行输入端口需要连接到一个控制信号源,比如Arduino或Raspberry Pi等单片机。

3. 驱动彩灯的开关电路需要根据彩灯的功率和数量来选择合适的元件,并且需要注意防止过载和短路等问题。

4. 彩灯循环控制电路可以通过添加多个74HC595移位寄存器来扩展输出端口数量,从而控制更多的彩灯。

五、总结彩灯循环控制电路是一种基于555定时器和74HC595移位寄存器芯片设计的简单而有效的控制方案。

通过合理地设计和实现,可以实现对彩灯开关状态的精确控制,从而达到更好的装饰效果。

路循环彩灯设计报告

路循环彩灯设计报告

循环彩灯控制电路的设计一、课程设计的目的1、稳固和加强?数字电子技术?课程的理论知识。

2、掌握电子电路的一般设计方法,理解电子产品研制开发过程。

3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。

4、通过查阅手册和文献资料,培养独立分析问题和解决问题的才能。

5、培养创新才能和创新思维。

二、任务的描绘用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位存放器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。

有以下四种演示花型:花型1: 16路彩灯同时亮灭,亮、灭节拍交替进展。

能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。

花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。

要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。

花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。

三、设计任务分析根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位存放器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。

四、详细设计过程的描绘根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位存放器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位存放器的工作方式来实现。

16路彩灯需要移位存放器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位存放器模块LSR8和RSR8。

由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期一样。

此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《数字电子技术基础》课程设计报告设计题目:彩灯循环控制器的设计专业:班级:姓名:学号:指导教师:设计日期: 2014 年 6 月课程设计评审意见(1)设计阶段(30分)——硬件电路运行情况优()、良()、中()、一般()、差();(2)报告(60分)——对于课程设计报告撰写的整体评价优()、良()、中()、一般()、差();(3)平时表现(10分)——课程设计过程中的表现优()、良()、中()、一般()、差();总评分数:优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。

态度端正,课程设计期间无违纪行为。

良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。

考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。

中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。

一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。

差()课程设计过程中表现不佳,未能完成课程设计要求的内容。

评阅人:2014年6月25日注:优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)目录引言 (1)第一部分:设计方案设计 (2)1.1方案选择: (2)1.2功能设计及分析 (2)1.2.1 时钟信号功能设计...............................................错误!未定义书签。

1.2.2 花型控制功能设计 (2)1.2.3 花型演示功能设计 (3)1.3 原理图总图及说明 (4)第二部分:硬件调试总结 (5)2.1 元器件清单及说明 (5)2.2 硬件调试 (9)第三部分:总结 (10)3.1 设计小结 (10)3.2 心得体会 (11)参考文献 (11)附录 (12)引言现在绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。

本次设计的彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,显示循环为:(1)彩灯自上到下渐亮至全亮(2)彩灯自上到下渐灭至全灭(3)彩灯自下到上渐亮至全亮(4)彩灯自下到上渐灭至全灭(5)彩灯全亮。

(6)彩灯全灭。

发光二极管的亮灭由移位寄存器的输出高低电平来控制,不同图案从左到右或从右到左的变化可用移位寄存器的左移、右移功能,全亮或全灭可由移位寄存器的并入功能实现。

8种彩色图案的转换由计数器的8种状态控制。

通过本次课程设计既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。

第一部分:设计方案设计1.1方案选择:图1(1)脉冲产生电路:选用NE555定时器组成的多谐振荡器可以产生所需频率的脉冲。

(2)循环控制电路:由两片74LS194实现,每一片芯片能实现4位输出的左移、右移、清零和全亮,两片芯片就能对8组彩灯进行控制,全亮由高电平置数实现。

1.2功能设计及分析1.2.1 时钟信号功能设计图2用NE555构成一个多谐振荡器,让其产生脉冲,工作原理是:接通电源后,若OUT是高电平,则555内部的放电管T截止,电容C充电,充电回路是Vcc——R9——R10——C1——GND,Vc按指数规律上升。

当Vc上升到2/3Vcc时,输出OUT翻转为低电平,T导通,电容C放电,放电回路C1——R10——T——GND,Vc按指数规律下降,降到1/3Vcc时,输出OUT翻转为高电平,放电管T截止,C再次充电,如此循环,产生脉冲。

1.2.2 花型控制功能设计图3控制部分由两个四位二进制计数器74LS161级联起来,作为计数模块,其中一片161作为低位,当其计数满进位时产生脉冲使高位161开始计数,将地位161的进位端在高位的使能端,便能将两片161级联。

1.2.3 花型演示功能设计图4针对本次设计只有8节拍的花型,所以两片194不用级联就可以实现所要求的功能。

1.3 原理图总图及说明图5本次设计是由二片移位寄存器194实现。

其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。

而花型之间的变化通过花型控制电路的输出即161级联的计数器出控制(它们由同一个彩灯花型1 花型2 花型3 花型4 花型5 花型61 10000000 01111111 00000001 11111110 11111111 000000002 11000000 00111111 00000011 11111100 11111111 000000003 11100000 00011111 00000111 11111000 11111111 000000004 11110000 00001111 00001111 11110000 11111111 000000005 11111000 00000111 00011111 11100000 11111111 000000006 11111100 00000011 00111111 11000000 11111111 000000007 11111110 00000001 01111111 10000000 11111111 000000008 11111111 00000000 11111111 00000000 11111111 00000000由以上元器件的所示的芯片构成原理图,通过NE555振荡器产生的时钟脉冲信号,再通过具有同步加法计数74LS161产生分频,再经过控制电路输入到74LS194组成的移位寄存器中通过控制发光二极管来显示设计所需要的要求。

第二部分:硬件调试总结2.1 元器件清单及说明元器件清单元件名称数量NE555 174LS161 274LS194 274LS04 174LS08 174LS32 110uF电解电容 1104电容 11K电阻810K电阻 1104电位器 1发光二极管8万用板 1导线若干(1)NE555芯片:图6引脚说明:1脚(GND)是地端;2脚(TR)称触发端,是下比较器的输入;3脚(Vo)是输出端,它有O 和1两种状态,由输入端所加的电平决定;4脚(MR)是复位端,加上低电平时可使输出为低电平;5脚(Vc)是控制电压端,可用它改变上下触发电平值;6脚(TH)称阈值端,是上比较器的输入;7脚(DIS)是放电端,它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;8脚(Vcc)是电源端,特点:①只需简单的电阻器、电容器,即可完成特定的振荡延时作用。

其延时范围极广,可由几微秒至几小时之久。

②它的操作电源电压范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。

③其输出端的供给电流大,可直接推动多种自动控制的负载。

④它的计时精确度高、温度稳定度佳,且价格便宜。

(2)74LS161芯片:图7引脚说明:PCO 进位输出端CLOCK 时钟输入端(上升沿有效)CLEAR 异步清除输入端(低电平有效)ENP 计数控制端ENT 计数控制端ABCD 并行数据输入端LOAD 同步并行置入控制端(低电平有效)QA -QD 输出端功能:161的清除端是异步的。

当清除端CLR为低电平时,不管时钟端CLK状态如何,即可完成清除功能。

161的预置是同步的。

当置入控制器LD为低电平时,在CLK上升沿作用下,输出端Q0-Q3与数据输入端P0-P3相一致。

对于54/74LS161,当CLK由低至高跳变或跳变前,如果计数控制端ENP、ENT 为高电平,则LOAD应避免由低至高电平的跳变,而54/74LS161无此种限制。

161的计数是同步的,靠CLK同时加在四个触发器上而实现的。

当ENP、ENT 均为高电平时,在CLK上升沿作用下Q0-Q3同时变化,从而消除了异步计数器中出现的计数尖峰。

对于54/74LS161,只有当CLK为高电平时,ENP、ENT 才允许由高至低电平的跳变,而54/74LS161的ENP、ENT跳变与CLK无关。

161有超前进位功能。

当计数溢出时,进位输出端(RCO)输出一个高电平脉冲,其宽度为Q0的高电平部分。

在不外加门电路的情况下,可级联成N位同步计数器。

对于54/74LS161,在CLK出现前,即使ENP、ENT、CLR发生变化,电路的功能也不受影响。

(3)74LS194芯片:图8引脚说明:CLK 时钟输入端MR 清除端(低电平有效)A-D 并行数据输入端DSL 左移串行数据输入端DSR 右移串行数据输入端S0、S1 工作方式控制端QA -QD 输出端功能:当清除端(MR)为低电平时,输出端(QA-QD)均为低电平。

当工作方式控制端(S0、S1)均为高电平时,在时钟(CLK)上升沿作用下,并行数据(A-D)被送入相应的输出端QA-QD。

此时串行数据(DSR、DSL)被禁止。

当S0为高电平、S1为低电平时,在CLOCK上升沿作用下进行右移操作,数据由DSR送入。

当S0为低电平、S1为高电平时,在CLOCK上升沿作用下进行操作,数据由DSR入。

当S0和S1均为低电平时,CLOCK被禁止。

对于54/74LS194,只有当CLK为高电平时S0和S1才可改变。

(4)74LS04芯片:图9引脚说明:1A-6A 输入端1Q-6Q 输出端功能:74LS04是内含6组相同的反相器。

即1A输入高电平,1Y输出低电平。

(5)74LS08芯片:图10引脚说明:1A-4A、1B-4B输入端1Y-4Y输出端功能:74LS08芯片内有共四路二个输入端的与门,能实现电路的与运算。

(6)74LS32芯片:图11引脚说明:1A-4A、1B-4B输入端1Y-4Y输出端功能:74LS32芯片内有共四路二输入端的或门,能实现电路的或运算。

2.2 硬件调试调试步骤:(1)检查电路对照电路图认真检查电路,首先查看电源是否接错或与地短接,然后检查各芯片是否安装牢固,最后对照电路图认真查看各芯片的管脚是否接错、漏接或出现多接线的现象。

(2)接通电源观察在做好第一步的基础上进行下一步。

接通电源,如果出现异常现象立即关闭电源,按第一步重新检查电路对出现事故的电路部分进行着重的检查,直至发现并排除错误。

(3)工作开关断开的情况下的调试当第二步完成后,在断开开关的情况下用一个发光二极管检测脉冲信号的输出,各芯片的初始输出是否正确,如果存在问题,查找出原因并解决。

相关文档
最新文档