篮球比赛计分器设计
篮球比赛计分计时器设计
篮球比赛计分计时器设计基于篮球比赛的特点,我们选取的设计方案是分别用3个LED数码管显示比赛双方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。
本次设计的内容可以完成篮球比赛的记分功能:可以分别对两队进行记分包括加分和以防裁判判别失误的减分功能还可以进行记分清零,以便于进入下一场比赛。
除了记分功能还包括24秒倒计时功能。
其中比分记分模块分为1分加减分设置,通过按键次数不同得到不同的波形,通过74LS192进行加减分;24秒倒计时采用555定时器构成的秒脉冲发生器作为计数脉冲,利用74LS192进行倒计数。
标签:记分器;74LS192;555定时器;倒计时器一、设计背景随着篮球运动的广泛普及,爱打篮球的人也越来越多,各种篮球比赛也随之涌现出来,为了让比赛更加公平,此次设计,设计了篮球记分器和篮球计时器。
篮球记分器中可以分别对两队进行记分,包括加分和以防裁判判别失误的减分功能,还可以进行记分清零,以便于进入下一场比赛。
篮球计时器在篮球比赛中为了达到比赛的公平已经广泛使用,篮球比赛中已经必不可少了,通过设计中对随时清零,启动,暂停和连续等设计让比赛双方满意比赛的公平性。
所以篮球记分器和篮球计时器对篮球事业的发展有着不可忽视的影响及意义。
本次设计的目标是设计出能在比赛中记录双方得分的记分器,并且具有防止裁判错判,加减一分,以及每节比赛结束记分器清零重记的功能和能在篮球比赛进攻方24秒持球的计数器,比赛中暂停时通过控制开关来使24秒计数器暂停,及每次转化进攻方24秒计时器清零重记的功能。
二、总体方案设计(一)计分器设计方案此方案采用74LS192,74HC4511,数码管等构成。
记分员通过裁判的手势,加减分,加分则将加减开关置换到加分档,减分则置换到减分档,通过按键次数不同实现不同分值的加减,通过三个74LS192进行累计得分,经过74HC4511译码再通过七段数码管显示出得分。
plc控制的篮球比赛计时计分器毕业设计
PLC控制的篮球比赛计时计分器毕业设计1. 介绍作为一种常见的体育比赛计时计分设备,篮球比赛计时计分器在提高比赛效率、记录比赛成绩、保障比赛公正方面发挥着重要作用。
本篇文章将围绕PLC控制的篮球比赛计时计分器毕业设计展开深入探讨,旨在从设计原理、实现方法、技术难点等方面全面解读该毕业设计的价值和意义。
2. 设计原理PLC(Programmable Logic Controller,可编程逻辑控制器)作为一种工业自动化控制系统,其具有可编程、灵活性强、可靠性高等特点,是控制篮球比赛计时计分器的理想选择。
设计中,借助PLC的输入/输出模块,可实现对比赛时间和比分的准确控制,提高计分器的稳定性和精准度。
3. 实现方法在PLC控制的篮球比赛计时计分器毕业设计中,需要考虑到计时和计分的同步性、实时性以及人性化的操作界面。
通过设计合理的程序逻辑,结合触摸屏等先进的人机界面技术,可以实现对比赛进程的全面监控和控制,确保比赛计时计分过程的准确无误。
4. 技术难点在该毕业设计中,存在着一些技术难点需要克服。
如何实现计时和计分的精准同步、如何应对突发情况下的异常处理、如何确保设备在长时间运行中的稳定性等。
这些都需要设计者具备扎实的PLC编程和控制技术,深入理解篮球比赛规则和实际比赛场景,以及对计时计分器硬件电路和结构设计的全面考量。
5. 总结回顾通过对PLC控制的篮球比赛计时计分器毕业设计的探讨,我们可以看到,其设计不仅仅是对技术的考验,更是对设计者对篮球比赛规则的理解和对工程实践能力的挑战。
设计者需要综合考虑技术、实用性和可靠性,为比赛的公正进行提供有力保障。
6. 个人观点对于这样的毕业设计,我认为设计者需要有扎实的技术功底,同时要善于思考和总结,关注实际应用中的细节和问题。
只有站在使用者的角度,深入了解比赛需求,才能设计出符合实际情况的篮球比赛计时计分器。
我对这样的毕业设计充满期待,并期待看到更多的优秀作品问世。
篮球比赛计分器课程设计
篮球比赛计分器课程设计一、课程目标知识目标:1. 学生能够理解篮球比赛的基本规则和计分方法;2. 学生能够掌握篮球比赛计分器的基本操作和使用方法;3. 学生能够运用篮球比赛计分器进行实际比赛计分。
技能目标:1. 学生能够运用所学的篮球比赛计分方法,准确、迅速地进行计分;2. 学生能够通过操作篮球比赛计分器,提高信息处理和实时统计的能力;3. 学生能够在团队协作中,合理分配任务,提高沟通与协作能力。
情感态度价值观目标:1. 学生培养对篮球运动的兴趣,增强体育锻炼的意识;2. 学生树立公平、公正的比赛观念,尊重对手,遵守比赛规则;3. 学生通过团队协作,培养团队精神和集体荣誉感,提高人际交往能力。
课程性质:本课程为信息技术与体育学科的跨学科实践课程,结合篮球比赛计分器的使用,培养学生实际操作能力和团队协作精神。
学生特点:六年级学生具备一定的篮球知识和技能,对信息技术有较高的兴趣,喜欢动手操作,具备一定的团队协作能力。
教学要求:结合学生特点,注重实践操作,强调团队合作,提高学生的信息处理能力和实际应用能力。
通过课程学习,使学生能够将所学知识应用于实际篮球比赛计分中,提高学生的综合素养。
1. 篮球比赛规则与计分方法:- 篮球比赛基本规则介绍;- 篮球比赛得分项目的学习;- 篮球比赛计分方式的掌握。
2. 篮球比赛计分器操作:- 认识篮球比赛计分器硬件设备;- 学习计分器的基本操作流程;- 掌握计分器在比赛中的应用。
3. 实践操作与团队协作:- 设计实践操作环节,让学生实际操作计分器;- 进行模拟篮球比赛,进行计分实践;- 培养学生在团队中合理分工,协同合作的能力。
4. 教学大纲:- 第一课时:篮球比赛规则与计分方法学习;- 第二课时:篮球比赛计分器硬件设备认识与操作学习;- 第三课时:模拟篮球比赛计分实践;- 第四课时:总结与评价,提高学生团队协作能力。
教学内容依据课程目标进行选择和组织,注重科学性和系统性。
数电篮球比赛计分器课程设计
数电篮球比赛计分器课程设计课程要求学生在最后一节课讨论中提出有关篮球比赛计分器的细节,并就其中涉及到的主要技术点、数据存储原理和使用技术进行讨论。
二、课程内容本课程分为五个部分:第一部分:篮球比赛计分器简介(一)介绍篮球比赛计分器概念;(二)讲解篮球比赛计分器的主要功能。
第二部分:篮球比赛计分器设计的基本原理(一)学习篮球比赛计分器的基本原理,包括比赛时间、比赛结果的计算;(二)学习如何在实际比赛中应用篮球比赛计分器,如何辨别篮球比赛结果。
第三部分:篮球比赛计分器的使用(一)学习如何将篮球比赛计分器应用到实际比赛中,如:计分的正确方式,比赛结束的标志;(二)学习篮球比赛计分器在实际比赛中的使用,讨论实际比赛中可能出现的问题并讨论应对方法。
第四部分:篮球比赛计分器的技术点(一)学习使用篮球比赛计分器的主要技术点,如:数据存储原理、计算技术等;(二)讨论篮球比赛计分器的设计与实现方法,实际使用中存在的问题以及解决方案。
第五部分:课程讨论学生就与篮球比赛计分器涉及到的主要技术点、数据存储原理和使用技术进行讨论,以了解如何有效地应用篮球比赛计分器完成比赛中的计分。
三、教学目标1. 了解篮球比赛计分器的基本概念、工作原理及其在实际比赛中的使用。
2. 掌握篮球比赛计分器设计的基本原理,深入了解篮球比赛计分器的主要技术点、数据存储原理和使用技术。
3. 可以根据实际比赛情况,使用篮球比赛计分器做出正确的计分,有效地记录和保存篮球比赛数据。
4. 在最后一节课中,培养学生的研究能力,让学生可以从技术角度出发,深入探究篮球比赛计分器的具体使用方法。
四、教学方法本课程采用以下几种教学方法:(一)讲授课:课程中主要采用讲授的方式传授知识,让学生对课程内容有一个清晰的认识。
(二)实验和演示:在课堂上采用实验和演示的方式让学生将实验中学习到的知识应用到实际篮球比赛中,加深学生对课程内容的理解。
篮球计分器设计
《数字电子技术》课程设计题目:篮球比赛记分器设计学院:信息科学与技术学院专业:电子信息工程篮球比赛记分器设计一、设计内容与要求:设计一个篮球比赛记分显示器基本要求:(1)电路具有加1分、加2分、加3分功能。
(2)电路具有减分功能。
(3)显示总分功能,用三位LED显示器,最高可现实999。
(4)每次篮球比赛后显示器可清零。
二、设计方案对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。
电路要具有计分、减分及显示的功能。
当球队比赛得分时,用加法计分器通过控制分路加相应的分数。
如果裁判误判了,可用减法计数器减掉误判的分数。
用三片计数器和三个半导体数码LED进行对分数的统计和显示。
电路框图三、电路的设计1、记分部分设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。
74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下:故计分部分电路设计如下:2、显示部分数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。
共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。
由于计数器输出的是8421BCD码,数码管不能直接显示成数字,为了让数码管显示人们看懂的数字,就需要把计数器输出的8421BCD码转换成数码管显示的阿拉伯数字,这就需要译码器的翻译。
本设计采用DCD_HEX_BLUE七段发光二极管译码显示器。
DCD_HEX_BLUE为共阴极LED数码管。
显示器引脚从左到右依次为:4,3,2,1。
单片机课程设计篮球计时计分器正文精选全文完整版
可编辑修改精选全文完整版基于单片机的篮球赛计时计分器的设计一系统设计方案1.1 设计题目篮球计时计分器1.2 系统功能要求本系统可实现功能如下:(1)主控部分:选择单片机为核心元件构成系统。
(2)计时部分:能记录整个赛程的比赛时间,并能修改时间、暂停时间。
(3)计分部分:能随时刷新甲、乙两队在整个赛程中的比分。
(4)中场交换比赛场地时,能交换甲、乙两队比分的位置。
(5)比赛时间结束时,能发出报警指令。
1.3 系统总体方案设计本设计由AT89C51编程控制LED七段数码管作球赛计时计分系统具有赛程定时设置、赛程时间暂停、性能稳定、操作方便且易携带等特点。
1.3.1系统设计方案论证本设计是基于89C52单片机的键盘控制及显示电路设计,从系统的设计功能上看,系统可分为两大部分,即键盘输入控制部分和显示部分,对于每一个部分都有不同的设计方案,起初我拟订了下面两种方案:第一种方案:键盘控制采用矩阵扫描键盘,可以用普通按键构成4×4矩阵键盘,直接接到89C51单片机的P0口,高四位作为行,低四位作为列,通过软件完成键盘的扫描和定位。
显示部分采用动态显示,采用移位寄存器74LS164和译码器74LS138通过显示驱动程序驱动七段数码管显示。
此方案成本低,所用到的两个外围芯片价格都很低廉,而且单片机的I/O口占用较少,可以节约单片机接口资源。
第二种方案:键盘控制采用独立是式键盘,每个按键的"接零端"均接地,每个按键的"测试端"各接一条输入线,通过检测输入线的电平状态就可以很容易地判断哪个键被按下了,这种方法操作速度高而且软件结构很简单。
这种方法比较适合按键较少或操作速度较高的场合。
显示部分采用静态显示方法,所谓静态显示,就是每一个显示器都要占用单独的具有锁存功能的接口用于笔划段字形代码。
这样单片机只要把要显示的字形代码发送到接口电路,就不用管它了,直到要显示新的数据时,再发送新的字形码,因此,使用这种方法单片机中CPU 的开销小。
篮球比赛计分器的设计原理
篮球比赛计分器的设计原理
篮球比赛计分器的设计原理是基于计分规则和比赛规则来实现的。
设计原理如下:
1. 确定比赛规则:首先需要明确比赛规则,包括比赛时间、进球得分规则、罚球规则、犯规规则等。
2. 确定计分方式:根据比赛规则确定计分方式,通常包括两支队伍的得分和罚球数。
计分器需要能够根据比赛情况及时更新并显示得分。
3. 实时更新得分:根据比赛过程中的得分情况,计分器需要能够实时更新双方队伍的得分。
通常,计分器会设置按钮或触摸屏来记录各种得分情况,包括投篮得分、罚球得分以及技术犯规等。
4. 管控比赛时间:篮球比赛有固定的时间限制,计分器需要能够计时并显示比赛的进行时间。
同时,计分器也需要提供暂停和继续功能,以便于比赛管理。
5. 显示比赛结果:当比赛结束时,计分器需要能够显示比赛的最终得分和胜负结果。
部分计分器还会提供比赛统计数据,如得分最高球员、篮板球数量等。
综上所述,篮球比赛计分器的设计原理是基于比赛规则和计分方式来实时更新并显示比赛的得分情况,同时还需要提供计时、暂停和继续功能等。
篮球赛计时计分器课程设计
篮球赛计时计分器课程设计目录第1章系统概述 (1)1.1 功能简述 (1)1.2 按钮设置 (1)第2章总体方案设计 (2)2.1系统框图 (2)2.2 软件总体设计 (2)第3章系统硬件设计 (3)3.1 80C51单片机 (3)3.2 3×4矩阵式键盘 (3)3.3 8段数码管显示器 (3)3. 4 系统原理图........................ (3)第4章软件设计 (4)4.1 主函数设计 (4)4.2 按键码获取,按键处理函数 (5)4.3 显示子函数 (6)4.4 延时子函数 (7)第5章系统的安装调试说明 (8)5.1 软件调试 (8)5.2 软硬联调 (8)总结 (9)参考文献 (10)附录A 系统原理图 (11)附录B 源程序清单 (12)第1章系统概述1.1 功能简述本设计内容为比赛计分器,主要用于各种体育比赛记录分数。
采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2、加3和减1减2、减3操作,其加减1,2,3分可以通过加减1分、2分和3分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。
可以实现预置分。
比分通过4个8段数码管显示器进行显示,每队比分显示2位,1.2 按钮设置计分器应该有7个按键分别标注于原理图,见图1-1。
图1-1按钮功能图其中1/2/3分切换由发光二极管指示,加1减1分别对应。
预置分是事先设定分数可以分别设定甲乙两队的初始分数。
按下清零后,显示的分数清零。
第2章总体方案设计2.1 系统框图系统框图,见图2-1。
图2-1 系统框图本设计用80C51单片机为核心,利用4个8段数码管显示器.采用动态显示输出比分,用户信息输入则采用3×4矩阵式键盘。
89C52单片机有32根I/O线,所以不用扩展I/O口。
用一片单片机即可满足本设计的输入输出。
2.2 软件总体设计软件设计主要分为3个部分:信息输入、信息处理、显示输出。
篮球比赛计分器设计条件分析报告
篮球比赛计分器设计条件分析报告一、引言篮球作为一项全球性的运动,受到广大球迷的喜爱。
而篮球比赛计分器作为比赛场地上的重要设备,起到计分、计时和展示比赛数据的作用。
本文将对篮球比赛计分器的设计条件进行分析,并提出相应的设计方案。
二、设计条件分析1. 功能需求篮球比赛计分器主要功能为计分和计时,因此需要具备数字展示功能,能够准确地显示比赛进程和比分情况。
同时,计分器还应具备计时功能,能够精确记录比赛时间,并提供倒计时功能。
此外,计分器还应具备加减分、暂停等功能,便于裁判员和比赛管理人员的操作。
2. 可视性要求由于篮球比赛计分器通常放置在场地边线上,因此要求具备良好的可视性。
计分器应有清晰的数字显示,确保观众和队员都能够迅速、准确地获取比分和时间信息。
此外,计分器还应具备不同亮度的调节功能,以适应不同光线环境下的可视性要求。
3. 操作使用便捷性篮球比赛计分器是裁判员和比赛管理人员必不可少的工具,因此其操作使用的便捷性至关重要。
计分器应具备直观明确的按键布局,使用人员能够快速、准确地掌握各项操作。
同时,计分器还应具备易于携带和设置的特点,以便于在不同比赛场地的安装和调整。
4. 系统稳定性篮球比赛计分器的系统稳定性对于比赛的进行至关重要。
计分器应具备抗干扰能力强的特点,能够稳定工作在各种环境下。
此外,计分器还应具备恢复功能,以防止因突发情况导致的数据丢失和故障。
5. 可扩展性和可维护性为适应不同比赛规模和要求,篮球比赛计分器应具备可扩展的特点。
计分器的设计应考虑到可增加的显示屏和按键,以满足大型比赛的需要。
同时,计分器还应具备易于维护和升级的特点,以延长使用寿命并提供更好的服务。
三、设计方案基于以上设计条件的分析,我们提出了如下的篮球比赛计分器设计方案:1. 核心功能设计计分器将采用LED数字显示屏,具备清晰、亮度可调的特点,以满足不同环境下的可视性需求。
同时,计分器将采用电子计时器,能够精确记录比赛时间并提供倒计时功能。
篮球比赛计时计分器设计方案
篮球比赛计时计分器设计方案
设计目的:本篮球比赛计时计分器的设计旨在提供一个简单易用,精准可靠的计时计分器,用于篮球比赛计时计分的目的。
设计原理:本计时计分器主要采用数码显示技术,通过显示器来显示比分、时间,以及犯规次数等信息。
并且计时计分的过程中,系统主要通过微处理器控制并实现自动计时计分,在比赛过程中极大地方便了裁判员的工作。
特点:本计时计分器的特点如下:
1. 精准可靠:系统采用稳定的数码显示技术,让计分器更加精准,可靠性更高。
2. 显示直观:通过系统显示器,直观的显示比赛进行的时间、分数以及犯规次数等信息,让人一目了然。
3. 自动计分:本系统可以通过微处理器实现自动计分,极大地降低了裁判员的工作难度,提高了比赛的公正性和效率。
4. 强大的扩展性:本系统支持接入外部闪烁灯控制模块,可以扩展到运动场馆大屏幕等监控设备上。
使用方法:本计时计分器使用起来非常简单,只需要按照篮球比赛规则操作即可。
在比赛开始前,先设置好参赛队伍和比赛时间等相关参数,然后开启计时计分器即可开始比赛,比赛进程中可以根据实际情况进行犯规次数以及加时赛等相关操作。
比赛结束后,系统会自动停止计时,并显示双方比赛成绩。
总结:本篮球比赛计时计分器的设计让比赛计时计分更加简单轻松,不仅提高了比赛的效率,还提高了比赛的公正性和监管能力。
未来,我们还将进一步完善计时计分器的功能,加入更加智能化的管理系统,为体育竞技提供更加先进的技术支持。
篮球比赛计分器设计
WORD格式可以任意编辑单片机课程设计报告篮球比赛计分器设计姓名:学号:专业班级:指导老师:所在学院:年月日摘要本设计是采用单片机AT89C52作为本设计的核心元件。
利用7段共阴LED作为显示器件。
在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED显示器显示范围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。
赛程计时采用倒计时方式。
即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。
计时范围可达到0~99分钟,也完全满足实际赛程的需要。
当比赛队A对得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B对的得分。
采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。
解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。
本设计可以实现:1、能记录整个赛程的比赛时间,并能修改比赛时间2、能随时刷新甲、乙两队在整个过程中的比分3、中场交换比赛场地时,能交换甲、乙两队比分的位置4、比赛结束时,能发出报警声,等功能目录1概述31.1设计意义 (3)1.2设计内容 (4)1.3设计任务和要求 (4)2系统总体方案及硬件设计42.1AT89C52简介 (4)2.2数码管显示原理 (6)2.3总体方案: (7)2.4硬件原理图: (8)3软件设计73.1主流程图: (7)4.PROTEUS软件仿真85、课程设计体会10附1:源程序代码11附2系统原理图211概述1.1设计意义体育比赛计分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统。
EDA课程设计——篮球球比赛计分器_2
<<电子设计自动化EDA技术>>课程设计报告题目: 篮球比赛记分牌姓名:院系:专业:学号:指导教师:完成时间: 年月日目录1 课程设计题目`内容与要求………………………1.1 设计内容1.2 具体要求2系统设计…………………………2.1 设计思路2.2 系统原理3 系统实现……………………………………………4 系统仿真……………………………………………5硬件验证(操作)说明………………………………6 总结…………………………………………………7参考书目……………………………………………一、课程设计题目、内容与要求1.1课程设计的题目: 篮球比赛记分牌1、1.2课程设计内容:2、根据比赛实际情况记录两队得分, 罚球进的1分, 进球的2分;3、记分牌要具有纠错功能, 能减1分、2分功能;4、利用3个译码显示管输出比赛的分;二、系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况, 并能够进行纠错功能;根据系统设计的要求, 篮球记分牌的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:1.D触发器电路模块实现翻转功能当出错时, 输出为1, 使电路回到上一个正确的状态。
2.4为二进制全加器电路模块实现加法计数功能。
3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态, 出错时将调用上一个正确状态。
4.二选一数据选择器电路模块用来控制移位寄存器5. LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1), 清零应该可以用复位键reset吧(Q=0)。
library ieee;use ieee.std_logic_1164.all;entity sync_rsdff isport(d,clk : in std_logic;set : in std_logic;reset: in std_logic;q,qb : out std_logic);end sync_rsdff;architecture rtl_arc of sync_rsdff isbeginprocess(clk)beginif (clk'event and clk='1') thenif(set='0' and reset='1') thenq<='1';qb<='0';elsif (set='1' and reset='0') thenq<='0';qb<='1';elseq<=d;qb<=not d;end if;end if;end process;end rtl_arc;移位寄存器模块电路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_reg isport (DIR : in std_logic;CLK : in std_logic;CLR : in std_logic;SET : in std_logic;CE : in std_logic;LOAD : in std_logic;SI : in std_logic;DATA : in std_logic_vector(3 downto 0);data_out : out std_logic_vector(3 downto 0) );end shft_reg;architecture shft_reg_arch of shft_reg issignal TEMP_data_out : std_logic_vector(3 downto 0);beginprocess(CLK)beginif rising_edge(CLK) thenif CE = '1' thenif CLR = '1' thenTEMP_data_out <= "0000";elsif SET = '1' thenTEMP_data_out <= "1111";elsif LOAD = '1' thenTEMP_data_out <= DATA;elseif DIR = '1' thenTEMP_data_out <= SI & TEMP_data_out(3 downto 1);elseTEMP_data_out <= TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out <= TEMP_data_out;end architecture;3.二选一数据选择器电路模块及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux isbeginq<=(do and sel)or(not sel and d1);end a;4.加法计数器的电路模块及程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add4 ISPORT(a1,a2,a3,a4:IN STD_LOGIC;b1,b2,b3,b4:IN STD_LOGIC;sum1,sum2,sum3,sum4:OUT STD_LOGIC;cout4:OUT STD_LOGIC);END add4;ARCHITECTURE add_arc OF add4 ISSIGNAL cout1,cout2,cout3:STD_LOGIC;COMPONENT halfaddPORT(a,b:IN STD_LOGIC;sum,hcarry:OUT STD_LOGIC);END COMPONENT;COMPONENT fulladdPORT(in1,in2,cin:STD_LOGIC;fsum,fcarry:OUT STD_LOGIC);END COMPONENT;BEGINu1:halfadd PORT MAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);u2:fulladd PORT MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);u3:fulladd PORT MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);u4:fulladd PORT MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);END add_arc;5.七段译码电路及程序:library ieee;use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0));end deled;architecture func of deled isbeginprocess(datain)beginif datain= "0000" then qout<="1111110";elsif datain= "0001" then qout<="0110000";elsif datain= "0010" then qout<="1101101";elsif datain= "0011" then qout<="1111001";elsif datain= "0100" then qout<="0110011";elsif datain= "0101" then qout<="1011011";elsif datain= "0110" then qout<="1011111";elsif datain= "0111" then qout<="1110000";elsif datain= "1000" then qout<="1111111";elsif datain= "1001" then qout<="1111011";else null;end if;end process;end func;四、系统仿真1.D触发器电路模块仿真波形:2.移位寄存器模块电路仿真波形:3.二选一数据选择器电路模块仿真波形:4.加法计数器的电路模块仿真波形:5.七段译码电路仿真波形:五﹑硬件验证说明这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等, 六、总结七、参考书目[1]《PLD与数字系统设计》李辉西安电子科技大学出版社 2005[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社 2004[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京: 电子工业出版社2002[4]《VHDL程序设计》曾繁泰陈美金著北京: 清华大学出版社 2001[5]《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社 2005[6]《PLD器件与EDA技术》李冬梅北京广播学院出版社2000。
篮球比赛计分器设计
篮球比赛计分器设计引言:篮球是一项非常受欢迎的运动,有很多粉丝和爱好者。
在一场篮球比赛中,计分器是不可或缺的设备,用于记录比赛的得分和时间。
设计一款高效、准确、易于操作的篮球比赛计分器是非常重要的。
在这篇文章中,我将介绍一种设计思路,并详细阐述其功能和特点。
设计思路:这款篮球比赛计分器将使用电子数字显示屏来显示得分和时间。
它将具有简洁明了的界面,易于操作和理解。
同时,它还将配备一套完整的规则设置和调整功能,以适应不同比赛情况的需求。
功能和特点:1.显示屏:计分器将配备一块大尺寸的电子数字显示屏,用于显示比赛的得分和时间。
这个显示屏将具有高亮度和清晰度,确保在各种光线条件下都能清楚地看到。
2.得分:计分器将有两个部分,每个部分分别显示两支球队的得分。
通过按下加分和减分按钮,可以增加或减少相应球队的得分。
为了避免误操作,按钮的触觉反馈将确保用户知道他们点击了正确的按钮。
3.时间:计分器将具有倒计时功能,用于记录比赛的时间。
用户可以设置比赛的总时间,并启动倒计时。
同时,计分器还将显示当前比赛的剩余时间。
当时间快到结束时,计分器将会发出声音提示。
4.犯规和超时:计分器将记录每支球队的犯规和超时次数,并在显示屏上进行显示。
当球队达到规定的犯规和超时次数时,计分器将发出声音提示。
同时,用户可以通过按下相应按钮来增加或减少犯规和超时次数。
5.规则设置:计分器将具有一套完整的规则设置功能,以适应不同比赛情况的需求。
用户可以设置比赛时间、加时赛、罚球次数等规则,并将其保存在计分器的内部存储器中,以备下次使用。
6.电源和控制:计分器将使用可充电电池供电,并配备一个简单易懂的控制面板。
通过按下相应按钮,用户可以启动计分器、调整得分和时间、设置规则等。
控制面板还将显示电池电量和当前的设置。
总结:设计一款高效、准确、易于操作的篮球比赛计分器对于比赛的顺利进行非常重要。
这款计分器将使用电子数字显示屏、配备得分、时间、犯规和超时记录功能,同时具备规则设置和调整功能,以适应不同比赛需求。
篮球比赛计分器设计
单片机课程设计报告篮球比赛计分器设计姓名:学号:专业班级:指导老师:所在学院:年月日摘要本设计是采用单片机AT89C52作为本设计的核心元件。
利用7段共阴LED 作为显示器件。
在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED显示器显示范围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。
赛程计时采用倒计时方式。
即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。
计时范围可达到0~99分钟,也完全满足实际赛程的需要。
当比赛队A对得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B对的得分。
采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。
解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。
本设计可以实现:1、能记录整个赛程的比赛时间,并能修改比赛时间2、能随时刷新甲、乙两队在整个过程中的比分3、中场交换比赛场地时,能交换甲、乙两队比分的位置4、比赛结束时,能发出报警声,等功能1 概述 31.1设计意义 (3)1.2设计内容 (4)1.3设计任务和要求 (4)2 系统总体方案及硬件设计 42.1AT89C52简介 (4)2.2数码管显示原理 (6)2.3总体方案: (7)2.4硬件原理图: (8)3 软件设计 73.1主流程图: (7)4.PROTEUS软件仿真85、课程设计体会10附1:源程序代码11附2 系统原理图211.1设计意义体育比赛计分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统。
基于单片机的篮球比赛计分器设计
基于单片机的篮球比赛计分器设计篮球比赛计分器是一种基于单片机的设备,用于记录和显示篮球比赛的比分、时间和犯规次数等信息。
本文将对基于单片机的篮球比赛计分器设计进行详细介绍。
一、设计背景和需求分析篮球比赛计分器是一种必备设备,用于记录篮球比赛的比分,时间和犯规次数等信息。
传统的篮球比赛计分器通常是由人工进行计分,存在计分错误的可能性。
为了提高计分准确性和效率,我们需要设计一种基于单片机的篮球比赛计分器。
设计需求如下:1.计分准确:确保每次计分都准确无误。
2.显示清晰:提供清晰易读的比分和时间显示。
3.操作简便:方便使用者进行计分的增减操作。
4.多功能:能够记录比赛时间、球队比分以及犯规次数等信息。
二、硬件设计1.显示屏:选择适合篮球比赛计分显示的大屏幕液晶显示器,确保信息显示清楚易读。
3.蜂鸣器:用于发出声音提示,例如在比赛结束时发出音乐。
三、软件设计1.初始化:对计分器进行初始化设置,例如重置比分、时间和犯规次数等信息。
2.计分控制:根据按键输入进行计分的增加或减少,并更新相应的显示。
3.时间控制:设置比赛时间,并进行倒计时操作,同时显示剩余时间。
4.比分显示:实时显示比分,确保显示清晰易读。
5.犯规次数:记录每个球队的犯规次数,并进行显示。
6.比赛结束:在比赛结束时,发出声音提示,并清零比分、时间和犯规次数。
四、功能拓展1.数据存储:添加存储器,用于记录比赛结果和相关数据,方便后续查看和分析。
2.通信功能:添加通信模块,可以与其他设备进行数据传输和控制,方便进行联网比赛。
五、设计实施1.硬件搭建:按照硬件设计要求进行电路搭建和连接,确保各个硬件模块正常工作。
2.软件编程:根据软件设计需求,编写单片机的程序代码,实现功能。
3.调试测试:将程序烧录到单片机中,进行调试测试,确保计分器正常运行并满足设计要求。
4.生产制造:在完成实施和测试后,进行批量生产制造,以满足市场需求。
六、总结基于单片机的篮球比赛计分器是一种高效、准确、易用的计分设备,通过对比分、时间和犯规次数等信息的实时记录和显示,提高了篮球比赛的计分准确性和效率。
篮球比赛积分器设计
基于单片机的篮球比赛计分器设计总计毕业设计(论文)47页表格7表插图40幅摘要随着电子产品的逐渐发展,单片机在电子产品中占据着越来越重要的部位,篮球计时计分器就是以单片机为核心,分为计时电路,计分电路,时间暂停以及修改时间的,倒计时提醒电路,复位电路等。
在篮球比赛中作为记录比分和时间的重要依据[1]。
计时电路:根据篮球比赛的时间要求,设置好比赛的规定时间,通过编程,在显示器上显示出来,进而连接在大屏幕上,可供观众观看。
计分电路:在比赛开始后,每对进一个球,都会要有相应的得分,通过程序的设定也要把比分显示在显示器上,以便能够实时的传递给观众。
时间暂停和修改时间电路:在比赛过程中,可能会发生一些事情,需要更改时间或暂停时间,在这里我们选择应用按键的方式来改变比赛的时间。
复位电路:是单片机本身的外接电路,当程序进入死循环是或想要实现的功能没实现时,我们可以尝试着进行复位,看电路是否可以正常工作。
倒计时提醒电路:在比赛的最后,为了让运动员有心理准备,我们设置了倒计时提醒功能,在最后十秒时用蜂鸣器发声来提醒运动员,比赛即将结束。
本次实验通过以51单片机为核心,LCD显示屏进行显示,通过Proteus软件进行仿真,得到了预期的结果。
关键词:单片机;显示器;按键;ProteusAbstractWith the gradual development of electronic products, electronic products, SCM occupy an increasingly important part of basketball time points is microcontroller as the core, into the timing circuit, scoring circuit, time pause and modify time countdown Alert circuit, reset circuit.Timing circuit: According to the time requirements basketball game, good game settings specified time, through programming, show up on the display, and then connected to the big screen for the audience to watch.Scoring circuit: After the start of the game, for each goal scored will have the appropriate score, by setting the score of the program is displayed on the display should to be able to transfer to the audience in real time.Time to pause and modification time circuit: during the game, something might happen, you need to change the time or pause time, where we choose to apply the key ways to change the game time.Reset circuit: the external circuit microcontroller itself when the program enters an infinite loop or function you want to achieve is not achieved; we can try to reset, to see whether the circuit is working properly.Countdown to remind Circuit: In the last game, in order to allow the athletes have been psychologically, we set the countdown reminder, in the final ten seconds with a small light flashes to remind players, the game is about to end.The experiments with 51 microcontroller core, LCD screen display, through the Proteus simulation software, to get the desired results.Keywords: microcontroller; monitor; button; Proteus目录摘要 (I)Abstract........................................................................................... I I1.1 目的及意义 (1)1.1.1设计(论文)的目的 (1)1.1.2设计(论文)的意义 (1)1.2 研究现状 (2)1.3 论文的主要工作 (3)1.4 章节安排 (3)第2章设计方案 (4)2.1 设计要求 (4)2.2 设计思路 (4)2.3 系统的硬件框图 (4)第3章器件介绍 (6)3.1 AT89C51单片机 (6)3.1.1 简介 (6)3.1.2主要功能 (7)3.1.3管脚说明 (8)3.2 LCD1602显示器 (10)3.2.1 DS1602的特性 (10)3.2.2管脚功能 (11)3.3 晶振 (13)3.4 蜂鸣器 (13)3.4.1 蜂鸣器的分类 (13)3.4.2 蜂鸣器的驱动方式 (15)3.5 排阻 (16)3.6 按键 (17)3.7 三极管 (18)3.7.1 三极管的分类 (19)3.7.2 三极管的工作状态 (19)3.8 电容 (20)第4章软件设计 (22)4.1 Proteus软件 (22)4.2 Keil软件 (23)4.2.1 Keil C51单片机软件开发的整体结构 (24)4.3 Proteus仿真实验结果 (25)4.3.1 时间显示 (26)4.3.2 分数显示 (28)4.3.3 倒计时功能 (29)4.3.4 24秒报警电路 (30)4.3.5 第二小节比赛 (31)4.3.6 交换电路 (33)4.3.7 按键电路 (33)4.3.8 复位电路 (34)4.3.8 晶振电路 (36)第5章硬件设计 (37)5.1 Protel DXP 软件 (37)5.2 Protel 原理图 (38)5.2.1 原理图绘制的步骤 (38)5.3 PCB版图 (39)5.4制板 (40)5.5 焊接、调试 (41)5.6实物图 (41)结论 (45)致谢 (46)参考文献 (47)第1章绪论1.1目的及意义1.1.1设计(论文)的目的随着科学技术的发展,单片机在我们生活中越来越被广泛的使用,由于单片机的集成度高,功能强,通用性好,具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等优点使单片机迅速得到广泛的应用,现在已经成为各种电子产品中的关键部分。
51单片机篮球计分器毕业设计
51单片机篮球计分器毕业设计序言计分器是篮球比赛中必不可少的设备,它能够记录比赛的得分情况,帮助裁判员和观众清晰地了解比赛进程。
在传统的篮球比赛中,计分器通常是由人工操作的,但是随着科技的发展,人工操作的计分器已经逐渐被自动化的电子计分器所取代。
而随着计算机科学与技术的不断发展,单片机技术在这方面的应用也越来越广泛。
本篇文章将介绍一种基于51单片机的篮球计分器设计方案,尝试探讨如何利用单片机技术实现篮球比赛计分的自动化。
一、设计方案的背景与意义在传统的篮球比赛中,计分工作通常由工作人员手动操作。
这种方式需要有专门的工作人员负责计分,不仅浪费人力资源,而且容易出现人为错误。
引入自动化的电子计分器,既能够提高比赛的计分效率,又能够减少人为错误的发生,提高了比赛的公正性。
利用单片机技术实现篮球计分器,不仅仅只是提供了一款便携、高效的计分器,更是为单片机技术在现实生活中的应用提供了一种有益的示范。
二、设计方案的具体实施1. 系统整体设计本设计方案将基于51单片机,通过按键输入、LED/LCD显示,实现对篮球比赛的实时计分和时间计时功能。
为了方便观众和裁判员的观看,还会配备蜂鸣器作为得分提示。
2. 功能设计本篮球计分器设计将主要包括以下功能:(1)得分计数功能:通过按键输入,实现对两支球队的得分计数功能。
(2)时间计时功能:通过按键输入,设置比赛的计时时长,并且实时显示比赛剩余时间。
(3)得分提示功能:在每次得分后,通过蜂鸣器提示观众和裁判员有球队得分。
(4)数据保存功能:为了防止断电导致数据丢失,设计方案将引入EEPROM芯片,实现数据的保存与恢复功能。
三、硬件设计1. 单片机选择本设计方案将选择51单片机作为主控芯片,它具有低功耗、高性能和丰富的外设资源,非常适合用于嵌入式系统的设计。
2. 输入输出设备为了实现按键输入和LED/LCD显示,本设计方案将使用矩阵键盘和LED/LCD模块作为输入输出设备。
篮球计分器的设计与制作(定稿)
目录1 引言 (2)2系统方案设计 (2)2.1 系统整体方案设计 (2)2.2 主控制器方案 (2)2.3 显示方案 (2)3 系统电路设计 (3)3.1 主控制器电路 (3)3.2 显示电路 (4)3.3 按键电路 (4)3.4 提示电路 (5)4 系统软件设计 (6)4.1 软件整体设计 (6)4.2 显示软件设计 (8)4.3 篮球计分软件设计 (9)5 系统仿真 (10)5.1 仿真设计电路图 (10)5.2 液晶显示 (10)5.3 系统仿真 (11)5.4 实物测试 (11)5.5 液晶显示上电测试 (12)5.6 比分控制上电测试 (13)6 总结 (14)参考文献 (14)1 引言体育比赛计分设备可以对比赛的时间以及分数进行记录,方便工作人员对篮球比赛信息进行记录,可以按照比赛的要求,对比赛进行记录。
由于篮球比赛不仅仅需要记录分数、时间,还需要进行场地转换,所以在设计时需要注意。
现在,市场上的篮球比赛计时计分器都是通过LED来显示的。
出于创新与便捷的理念,在本次设计中,使用的显示部分为LCD1602液晶显示屏,使用的按键为独立式键盘。
硬件方面,由于使用的是一体的LCD1602液晶显示屏,在构造方面同时也更为的坚固,不易拆散。
在软件方面也减少了编码程序时的复杂性,从而优化了程序运行效率。
2系统方案设计2.1 系统整体方案设计篮球计分器系统主要的核心器件是STC89C52单片机。
内容有显示控制、按键输入、声音控制、主控制器。
按照篮球比赛的进程,通过按键控制显示两队的比分,可以交换场地,控制系统停止计时,如果每节比赛倒计时结束则控制声音进行提示。
系统结构框图如下图所示。
图2-1 系统结构框图2.2 主控制器方案设计方案一:MSP430系列的单片机。
优点:片内集成的资源比较丰富,运行速度比较快。
缺点:工作电压不是+5V的,需要额外增加+5V转换电路;过压或者过流时都会击穿I/O 口;另外内部没有EEPROM,不能实现数据的存储。
球赛计时计分器设计(35)
球赛计时计分器设计目录摘要 (1)第一章系统硬件电路设计 (2)1.1 篮球赛计时计分器电路工作过程 (2)1.2 系统硬盘电路组成 (3)1.2.1 计时电器 (3)1.2.2 计分电路 (9)1.3 器件选择 (10)第二章软件编程及调试 (21)2.1 流程图 (21)2.3 计分系统程序设计 (11)结论 (13)摘要单片机自1970年代以来,以极高的性价比通过人们的注意和关心,因此应用很广,发展很快。
由于高水平的集成,单片机的功能强,通用性好,特别是,它体积小、重量轻、能耗低、价格低、可靠性高、抗干扰能力强和使用方便等独特的优势,使单片机迅速得到了推广应用。
现在已经成为一个测量控制应用系统的最优选择模型和新的电子产品的关键部件,许多与单片机控制游戏时间评分系统也应运而生。
系统采用模块化设计,主体分为计时显示模块,分模块,定时报警按钮、控制键盘模块。
每个模块的程序结构简单,任务明确,易于编写、调试和修改。
程序可读性好,本地程序可以修改,其他部分保持不变。
在使用我们的C51编程软件编译,然后生成的十六进制文件到芯片,Proteus软件仿真,测试功能正常,然后可以使用Protel99绘制硬件电路图。
该系统硬件电路的设计主要包括以下几个部分:单片机AT89C51,计时电路、计分电路、报警电路和开关。
这个设计由AT89C51单片机编程控制的八段数码管LED显示屏时间比赛评分系统。
系统有一个时间表定时设置,安排时间停顿,及时刷新结果分期以及双方之间的匹配,以及其他功能。
它成本低,性能稳定,操作方便,便于携带,适合各类学校或小型团体安排计时计分。
关键词:单片机,计时,计分,显示器,接口第一章系统硬件电路设计1.1 篮球赛计时计分器电路工作过程整个篮球计时计分器的工作过程如下:首先在比赛之前,接通电源,系统自动复位,此时计时电路与计分电路中的共阴极数码管分别显示为0000和000000;然后我们按照计时电路图中的K1、K2、K3、K4键来设置比赛时间,K1、K2设置分位,K3、K4设置秒位。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
本科毕业设计学院专业年级姓名论文题目篮球比赛计分器设计指导教师职称年月日目录摘要 (1)Abstract (1)1绪论 (2)1.1篮球比赛计分器设计的研究的背景 (2)1.2研究内容 (2)1.3设计目标 (3)2方案选择与论证 (3)2.1方案一 (3)2.2方案二 (4)2.3方案三 (4)2.4方案选择 (5)3单元电路设计 (5)3.1单片机简述 (5)3.2振荡电路 (6)3.3控制按键电路 (7)3.4复位电路 (7)3.5报警时钟电路 (8)3.6数码管显示电路 (8)4软件设计 (10)4.1 主流程图 (10)4.2 源程序代码 (11)5调试结果及分析 (11)6 结论 (12)参考文献 (13)篮球比赛计分器设计摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。
篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。
本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。
随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。
在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。
本设计是基于单片机AT89C51的篮球比赛计分系统。
利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。
关键词:篮球比赛;计分器;24秒倒计时The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores betweentwo sides.Key words: Basketball game, scoring device, 24 seconds countdown1绪论1.1篮球比赛计分器设计的研究的背景篮球比赛是根据队员在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计分系统是一种得分类型的系统。
篮球比赛的计分系统由计时器、积分器等多种电子设备组成,同时,根据现在篮球比赛要求,完善的篮球比赛计分系统设备应能够与赛场得分处理,赛场大屏幕,电视转播车等多种设备相联,以便于是比赛更容易让观众处于现场的感觉。
同时,随着单片机在各个领域的广泛应用,利用单片机为主要芯片来设计篮球比赛计分系统。
由于单片机的高集成度,控制功能强,电压低,特别是它具有体积不大,质量轻,能量消耗低,价格低廉,高可靠性,容易扩展,便于生产便携式产品等多种优点,使单片机迅速得到了广泛应用,目前已经成为测量控制应用系统中的首选和新电子产品的重要部位[1]。
由于单片机的性能极高,单片机已广泛应用于各大电子产品产业。
篮球比赛计分器就是以单片机为核心的计分系统。
1.2研究内容本设计是基于单片机AT89C51的篮球比赛计分系统。
利用三个四位8段数码管作为显示器件。
本设计是简单模拟篮球比赛计时计分器的设计,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。
12个共阳数码管分别是:前六位为当前时间显示,第七八位是进攻24秒时间显示,第九到十二位是每节比赛倒计时显示,时间精确到秒。
本设计有四种显示模式,00.00.00.24计时模式,000-000计分模式,H000-000给前一个球队加分模式,000-H000给后一个球队加分模式;接通电源后,进入00.00.00.24,12.00模式,等待比赛开始,第三个键按下进入比赛倒计时模式,按第二次进入计分显示模式,按第三次又进入计时等待模式,等待下一轮开始;简单一点说就是:依次按第三个键分别是:等待-开始-计分-等待三种模式循环。
在000--000计分模式下,第一个键按下进入H000-000模式,第二个键加分,第三个键减分,再按第一个键进入正常计分模式000-000;在000-000计分模式下, 第二个键按下进入000-H000模式,第一个键加分,第三个键减分,再按第二个键进入正常计分模式000-000;24秒定时时间到,报警3秒停,24秒倒计时复位,进入等待状态。
1.3设计目标(1)能够准确记录整个比赛过程中的分数;(2)能够准确记录整个比赛过程中的时间;(3)在比赛结束时,能够发出警报声。
2方案选择与论证篮球比赛主要实现的基本功能有:开始、比赛时间倒计时、24秒倒计时、加分操作、减分操作、蜂鸣报警、时间和比分显示的基本功能。
因此,必须要有相关的输入模块、控制模块和显示模块等。
对于输入模块,有独立按键输入和矩阵式按键输入。
但是两者相比较而言,矩阵式按键输入的组建模式灵活,可用于输入更多的控制对象。
对于控制模块,可以利用数字芯片进行控制,也可以利用单片机进行控制,显然,单片机控制方式是很高效的。
对于显示模块,有LED数码管显示和LCD液晶显示,与LED数码管相比,LCD体积大,能耗大,并且价格高昂,不太适合学生选择使用,所以选择使用LED数码管。
2.1方案一用石英晶体振荡器或555定时器产生稳定的校时信号,555定时芯片是一种中规模集成电路,可以构成多谐振荡器脉冲产生与整形电路,在自动控制、定时、防盗报警器有着广泛的应用,并且操作简单,所以选用555定时器来完成报警电路和1秒脉冲[2]。
运用了74LS157,74LS190,74LS00,74LS20及555定时器基本元件。
此类元件性价比合适,使用不难,大部分基本功能都可以实现,是工程中的基本元件。
其系统框图如图1所示:图1系统框图2.2方案二利用8255A对AT89C51单片机的P0端口扩展来实现。
PA口为计时和计分的段控,PB口为计分的位控,PC口为计时显示的位控、报警、暂停和刷新,单片机的P3口为控制位,控制着开始,P1口为键盘输入端口[3]。
该设计使用8255A经P0口扩展为三个可用的8位端口,经8255A扩展后,可用的端口增多,起到了更好的扩展功能,利用74LS373对段和位分别进行锁存,增强了系统的稳定性,本方案的设计的具体电路如下图2所示:图2 8255A扩展电路图2.3方案三该方案以单片机为核心,作为控制模块,并以LED数码管作为显示模块,通过单片机自带的时钟电路和相应的定时器来实现计时[4]。
由单片机的P0口接显示器件,P1口接键盘,P2口接译码电路,P3口接中断处理电路,报警电路接在未用的I/O口线上[5]。
基于单片机AT89C51篮球比赛计分器设计的系统结构图如图3:图3 A T89C51设计系统框图2.4方案选择方案一的实现要用到多块数字芯片,数字电路的一个大的缺点就是电路随着信号的改变会产生冲击电流,稳定性相对而言比较差。
方案二对于电路焊接比较麻烦,对于学生来讲不太容易实现。
方案三设计简单,容易操作。
综上所述,三种方案中,方案三对于学生更容易实现,所以选择方案三。
本系统利用单片机AT89C51为该设计的主要芯片。
利用12个7段共阳LED 作为显示器件,12个共阳数码管分别是:前六位当前时间显示,第七八位是进攻24秒时间显示,第九到十二位是每节比赛倒计时显示,时间精确到秒[6]。
进入倒计时24秒之后,蜂鸣器会发出警报声,以表示比赛结束。
其次,为了配合计时器和计分器同时运用恰当,设置了三个按键,根据案件的特定次数来显示相应的得分情况以及比赛时间[7]。
3单元电路设计3.1单片机简述图4是AT89C51的引脚图,引脚说明如下图4 51单片机系列引脚图VCC:AT89C51电源正极输入,接+5V电压。
GND:电源接地端。
XTAL1:接外部晶振的一个引脚。
在单片机内部,它是一反相放大器输入端,这个放大器构成了片内振荡器。
它采用外部振荡器时,些引脚应接地[8]。
XTAL2: 接外部晶振的一个引脚。
在片内接至振荡器的反相放大器输出端和内部时钟发生器输入端[9]。
当采用外部振荡器时,则此引脚接外部振荡信号的输入。
3.2振荡电路本次设计要使用到AT89C51单片机的时钟振荡功能。
AT89C51中有一个用于构成内部震荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是该放大器的输入和输出端[10]。
这个放大器与作为反馈元件的片外石英晶体或者陶瓷谐振器一起构成自激振荡器。
AT89C51的时钟主要分为两种方式:第一种是片内时钟振荡,另一种是外部时钟方式。
本次设计采用的是第一种片内时钟振荡方式,通过在18和19端口外接石英晶体和振荡电容组成,这样既可产生本设计所需的时钟[11]。
振荡电路如下图5所示:图5振荡电路3.3控制按键电路控制按键电路如下图6所示,键S3按下进入比赛倒计时模式,按第二次进入计分显示模式,按第三次又进入计时等待模式,等待下一轮开始;简单一点说就是:依次按键S3分别是:等待--开始--计分--等待三种模式循环。