彩灯控制器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

北华航天工业学院

《EDA技术综合设计》

课程设计报告

报告题目:彩灯控制器综合实验作者所在系部:电子工程系

作者所在专业:自动化

作者所在班级:B08223

作者姓名:王磊

指导教师姓名:崔瑞雪

完成时间:2010.12.01

课程设计任务书

内容摘要

随着科技的发展, 在现代生活中, 彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革, 现介绍了以VHDL为基础的十六路彩灯控制系统。同时减少了设计芯片的数量、缩小了体积、降低了功耗、提高了设计的灵活性、可靠性和可扩展性。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA 技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。

关键词: VHDL,彩灯,仿真,控制

目录

一、设计要求 (1)

二、实验方案 (1)

三、实验原理 (1)

四、设计模块 (1)

五、程序仿真调试 (2)

六、程序下载与实现 (2)

七、实验结果分析 (3)

八、心得体会 (3)

参考文献 (4)

附录 (4)

一、设计要求

用给定IC设计、安装与调试彩灯控制器,具体要求如下:

(1)控制器有四组输出,每组至少能驱动四只LED。

(2)设计用十六只LED组成的彩灯图案。图案的状态变换至少有三种,并且能定时自动切换。

(3)彩灯图案状态变换的速度至少有快、慢两种。

(4)安装并调试彩灯控制器

二、实验方案

在电路中以 1 代表灯亮,以 0 代表灯灭,由 0、1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。下面就以一个四路彩灯控制系统的实现为例进行简单说明。此四路彩灯控制系统设定有三种花样变化 ,并且能定时自动切换。彩灯图案状态变换的速度有快、慢两种。

三、实验原理

整个系统共有三个输入信号:基准时钟信号CLK,系统清零信号RD,彩灯节奏快慢选择开关KZ;共有4个输出信号Q[3..0],分别用于控制四路彩灯。据此,我们可将整个彩灯控制器CDKZQ分为四大部分:彩灯花样控制部分、分频部分、定时部分和计数部分。当各个模块均完成上述操作之后,形成最后的四路彩灯显示系统,并且进行仿真。仿真通过,即可下载到指定的CPLD芯片里面,并进行实际连线,进行最后的硬件测试。把分频部分的不同频率的时钟信号CP输送到计数部分 ,从而控制彩灯闪烁速度的快慢 ,定时部分控制每种速度维持的时间长短。

四、设计模块

本次设计分为四个进程,即彩灯花样控制部分、分频部分、定时部分和计数部分,各进程及其功能如下:

(1)分频部分

在本次设计中 ,只设计了三种花样 ,要求彩灯图案状态变换的速度至少有快、慢两种,而只有一个输入的时钟信号 ,所以对所输入的时钟信号进行 2 分频 ,4 分频 ,得到两种频率信号CP , 分频部分用来完成此功能。

(2) 定时部分

定时部分实际是192进制的8位2进制输出的计数器,选前两位则提供 00,01,10,三个时间选择条件 ,来控制各种图案的自动变换。

(3) 计数部分

此部分就是一个简单的八进制计数器,通过分频部分提供的频率CP为下面的彩灯花样控制部分提供彩灯闪烁的速度。

(4) 彩灯花样控制部分

彩灯花样控制部分是整个设计的核心 ,它负责整个设计的输出效果即各种彩灯图案的样式变化。该程序充分地说明了用 VHDL设计电路的“弹”性 ,即可通过改变程序中输出变量 Q 的位数来改变彩灯的数目,改变输出变量 Q 的组合即可变幻彩灯图案。

五、程序仿真调试

波形仿真结果如图1所示:程序编译执行后生成的VHDL封装效果图如图2所示。

图1 输出仿真波

图2 符号

六、程序下载与实现

(1) 下载

以上的仿真正确无误,则可以将设计编程下载到选定的目标器件中做进一步的硬件测试,以便最终了解设计的正确性。

(2)硬件测试

经检查没有错误后下载成功在开发板上连线后成功实现程序开发板上的灯按照程序依次亮灭。

七、实验结果分析

当RD=1,时系统全部置零,彩灯全灭.。当KZ=1时,CP=2CLK,彩灯图案状态变换的速度快;当KZ=0时,CP=4CLK,彩灯图案状态变换的速度慢。第一种图案每时刻亮一列,从左向右依次亮,然后再从右向左依次亮;第二种图案每时刻亮二列,每一时刻随机亮两列;第三种图案每时刻亮三列。每当CLK经过64个上升沿自动切换到下一图案。八、心得体会

通过这次EDA的课程设计,我懂得做课程设计的同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。虽然我们这次做的题目并不难,我们只用了一个文件,并没有应有例化语句和状态机等语句,但对于其他的认识我们差不多都用到了,加深了对平时一些知识的巩固,如信号和变量的区别、文件名应与实体名相同等。通过使用EDA编程既方便又快捷的实现了题目的功能,且程序已经在硬件系统上得到了验证 ,实验表明 ,此设计方法能够满足多种不同花样彩灯的变化要求 ,并且该方法便于扩展不同变化模式的彩灯花样。让我懂得了课设不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,同时还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识及创新思维。

相关文档
最新文档