计时器电路设计
30秒倒数计时器设计课件
![30秒倒数计时器设计课件](https://img.taocdn.com/s3/m/dfd3fb0ced630b1c59eeb55f.png)
一.实验目的理解倒计时器工作原理,实现以中小规模集成电路设计计时器的方法,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
它是由时钟脉冲产生电路、计数电路、译码驱动及显示电路、报时电路及电源电路组成。
时钟脉冲采用555定时器构成多谐振荡电路产生,通过EDA软件Multisim10绘制了电子电路仿真原理图,并进行仿真,同时用万能板焊接制作了硬件实现电路。
二.系统原理框图图1系统原理框图一.1秒脉冲发生器:秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。
实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555点哭构成等。
不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。
本实验中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。
一般情况下,要做出一个精度比较高的频率很低的振荡器有一定的难度工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低精度比较高的脉冲信号发生器,其精度取决于振荡器的精度和分级项数。
2.30秒减法计数器: 30秒减法计数器采用74LS192设计,74LS192是十进制同步加法|减法计数器,采用8421BCD码编码,具有直接清零异步置数功能。
3.控制电路按照系统的要求,电路应该完成以下4个功能;1)当操作直接清零按键时,要求计数器清零。
2)当启动按键闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,显示器显示30秒字样。
当启动按键释放时,计数器开始减法计数。
3)当暂停连续开关处于暂停状态时,控制电路封锁计数脉冲,计数器停止计数,显示器显示原来的数,而且保持不变,当暂停连续开关处于连续状态时,计数器正常计数,另外,外部操作开关都应该采取消抖措施,以防止机械抖动造成电路工作不稳定。
24秒倒计时器的设计解析
![24秒倒计时器的设计解析](https://img.taocdn.com/s3/m/50507d01b7360b4c2e3f64c6.png)
赣南师院物理与电子信息学院数字电路课程设计报告书2.2 单元模块2.2.1 信号发生部分秒脉冲的产生由555定时器所组成的多谐振荡电路完成。
电路图如下图所示。
当开关断开时,555定时器产生周期为1s的脉冲;当开关闭合时,电路不能输出信号,于是没有脉冲输入74LS192中,故74LS192在保持状态,即实现暂停功能。
图2 信号发生电路2.2.2 倒计时部分24秒倒计时电路。
这部分电路的主体部分在时钟脉冲的输入情况下工作,下面进行具体分析。
计数器的倒计时功能。
用两片74LS192分别做个位(低位)和十位(高位)的倒计时计数器,由于本系统只需要从开始时的“24”倒计到“00”然后停止,所以,这里的高位不需要做成六十进制的计数器。
因为预置的数不是“00”,所以我选用置数端LOAD来进行预置数。
时钟脉冲分别通过两个与门才再输进个位(低位)的down端,当停止控制电路送来停止信号时,截断时钟脉冲,从而实现电路的停止功能。
低位的借位输出信号用作高位的时钟脉冲。
两片计数器具体接法。
Vcc、UP接+5V电源,GND接地;时钟脉冲从与门输出后接到低位的down,然后从低位BO’接到高位的down;输入端低位C、高位B接电源,其他引脚和CLR都接地。
LOAD接到开关C的活动端,C 的另外两引脚分别接G的活动端和地。
而G的另外两个引脚分别接到电源和地。
图3 24秒倒计时电路2.2.3 停止控制电路倒数计数器到零时,需要将电路转换到“24”并且停住。
现在选取计数器到零的状态24秒计到“00”,从各引脚引出线接到二脚与非门,当计数器从“00”状态转换到“99”时,用与非门把该状态转换成低电平(其余时间为高电平)控制LD。
使电路转换到“24”。
由于数字99是在很短的时间才能看到,用肉眼是看不到的,于是能实现从“00” 到“24”的转换。
再通过与非门所组成的触发器的输出端输出低电平,使74LS192处于保持状态。
这样就实现了转换并停止的电路。
24秒倒计时器的设计和制作(停在00)
![24秒倒计时器的设计和制作(停在00)](https://img.taocdn.com/s3/m/be648ed5d15abe23482f4df4.png)
24秒倒计时器的设计和制作一、计时器概述1、计时器的特点及应用24秒倒计时。
24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。
选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。
2、设计任务及要求1、用小规模集成电路设计24秒倒计时电路;2、用555定时器产生1Hz的标准脉冲信号;3、当计时器显示00,同时报警;4、计时器应具有清零、启动、暂停/继续计时等控制功能。
二、电路设计原理及单元模块1、设计原理24秒计时器的总体参考方案框图如图1所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。
图1—方案框图其中计数器和控制电路是系统的主要模块。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。
译码显示电路由74LS48和共阴极七段LED显示器组成。
报警电路在实验中可用发光二极管和鸣蜂器代替。
2、设计方案此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。
在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。
此电路是一时钟产生,触发,倒计时计数,译码显示、报警为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。
3、单元模块3.1各个元器件功能3.1.1、555定时器555 定时器的内部电路框图如图3-1-1所示。
图3-1-1 555内部电路框它内部包括两个电压比较器,三个等值串联电阻,一个RS 触发器,一个放电管T 及功率输出级。
电子电工实验报告——数字计时器
![电子电工实验报告——数字计时器](https://img.taocdn.com/s3/m/ea6be0d1b8f67c1cfad6b8bf.png)
南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。
② 了解各单元再次组合新单元的方法。
2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。
3.实验内容① 设计实现信号源的单元电路。
(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。
③ 设计实现快速校分单元电路。
含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。
④ 加入任意时刻复位单元电路(开关K2)。
⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。
二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。
电阻:1KΩ一只,3KΩ一只,150Ω四只。
电容:0.047uF 一只。
LED共阴双字屏二块。
1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。
图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。
数字电路技术基础-倒计时器实验
![数字电路技术基础-倒计时器实验](https://img.taocdn.com/s3/m/c4bee474a22d7375a417866fb84ae45c3b35c2b0.png)
倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。
通过key1控制切换计数与暂停状态。
当key1按下时,开始倒计时,再次按下,暂停计时。
通过key2控制置初值。
暂停状态下,当key2按下时,回到初始状态,数码管显示2500。
设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。
提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。
使用课前预习的状态机模块,控制25分钟倒计时模块。
调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。
1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。
如何设计一个简单的计时器电路
![如何设计一个简单的计时器电路](https://img.taocdn.com/s3/m/7cdd272a24c52cc58bd63186bceb19e8b8f6ecb5.png)
如何设计一个简单的计时器电路计时器是一种常见的电子设备,用于测量和显示时间的工具。
设计一个简单的计时器电路可以通过使用特定的电子元件和电路配置来实现。
在本文中,将介绍一个基于555定时器的简单计时器电路设计方案。
一、材料准备在设计计时器电路之前,需要准备以下材料:1. NE555定时器芯片2. 电阻(一般为10kΩ)3. 电容器(一般为10μF和100nF)4. 开关5. LED灯6. 蜂鸣器(可选)7. 面包板8. 连接线二、电路设计1. 连接NE555定时器芯片将NE555芯片插入面包板中,并根据芯片引脚连接以下电子元件:- 将芯片的1脚连接到电阻和电容器的共同连接点。
- 将芯片的4脚连接到正电源(一般为5V)。
- 将芯片的8脚连接到负电源(一般为地线)。
- 将LED的正极连接到芯片的3脚,负极连接到地线。
- 将蜂鸣器的正极连接到芯片的3脚,负极连接到地线(可选)。
- 将开关连接到芯片的2脚和地线之间。
2. 设置计时器工作模式根据计时器需要的工作模式,调整电阻和电容器的数值以达到所需的时间间隔。
设置电阻和电容器的数值可以控制计时器的工作周期。
- 对于短时间间隔,可以选择较小的电阻和电容器数值。
- 对于较长时间间隔,可以选择较大的电阻和电容器数值。
3. 电源接入将正电源和负电源连接到面包板上的对应引脚,确保电路能够正常工作。
4. 调试和测试当所有元件连接完成后,打开开关,计时器电路将开始运行。
LED 灯会闪烁或者蜂鸣器会发出声音,表示计时器正常工作。
可以通过修改电阻和电容器的数值来调整计时器的时间间隔。
五、总结本文介绍了一个基于NE555定时器芯片的简单计时器电路设计方案。
通过合理的连接和调整电阻、电容器的数值,可以实现所需的计时功能。
这个简单的计时器电路可以应用于许多场景,如实验室实验、比赛计时、家庭日常使用等。
读者可以根据具体需求进行进一步的改进和扩展。
希望本文对您有所帮助!。
基于51单片机的9999秒倒计时器设计
![基于51单片机的9999秒倒计时器设计](https://img.taocdn.com/s3/m/96ca37215901020207409c09.png)
熟悉毕业设计方案,查阅相关资料
完成方案的初步设计,开题报告
电路的仿真和PCB板的设计
软件流程的设计和软件的编写
完成软件的编写与调试
硬件电路的装配与调试
电路的软硬件综合调试
完成毕业设计
参考文献
[1] 李朝青.单片机原理及接口技术(简明修订版).北京航空航天大学出版社,1998
[2] 李广弟.单片机基础[M].北京航空航天大学出版社,1994
A
0
1
1
1
0
1
1
1
77H
B
0
1
1
1
1
1
0
0
7CH
C
0
0
1
1
1
0
0
1
39H
D
0
1
0
1
1
1
1
0
5EH
E
0
1
1
1
1
0
0
1
79H
F
0
1
1
1
0
0
0
1
71H
.
1
0
0
0
0
0
0
0
80H
5.3LED 数码管显示方式
LED显示器工作方式有两种:静态显示方式和动态显示方式。
静态显示的特点是每个数码管的段选必须接一个8位数据线来保持显示的字形码。当送入一次字形码后,显示字形可一直保持,直到送入新字形码为止。这种方法的优点是占用CPU时间少,显示便于监测和控制。缺点是硬件电路比较复杂,成本较高。
①一个8位CPU;
②一个片内振荡器和时钟电路;
③4KB ROM(80C51有4KB掩膜ROM,87C51有4KB EPROM,80C31片内有无ROM);
数字计时电路设计
![数字计时电路设计](https://img.taocdn.com/s3/m/1cd340b1f121dd36a22d8203.png)
数字计时电路设计摘要:数字计时电路的应用非常广泛,相比于传统的计时电路,它不仅走时准确,显示直观,而且不需要机械传动和频繁的调整。
鉴于它的广泛应用和诸多优点,本人采用了74LS系列中小规模集成芯片和RS触发器的校时电路设计了一个数字计时电路,它以12小时为周期,可以显示小时,分钟和秒,并具有小时,分钟校对功能。
本数字计时电路系统的整体设计是由振荡器,分频器,计数器,显示器和校时电路组成的,通过本论文设计,希望使其方法更系统,电路更简单。
关键词:数字计时电路;计数器;逻辑设计目录引言 (1)1 设计内容、方案及要求 (1)1.1 设计内容 (1)1.2 设计方案 (1)1.3 设计要求 (2)2 主体电路各单元的工作原理与设计 (2)2.1 振荡器 (2)2.1.1 振荡器的工作原理 (2)2.1.2 振荡器的设计 (3)2.2 分频器 (3)2.2.1 分频器的工作原理 (3)2.2.2 分频器的设计 (4)2.3 计数器 (4)2.3.1 60进制计数器工作原理 (4)2.3.2 12进制计数器工作原理 (4)2.4 译码与显示电路 (6)2.4.1 显示器的工作原理 (6)2.4.2 译码器的工作原理 (7)2.4.3 译码与显示电路的设计 (7)2.5 校时电路 (7)2.5.1 校时电路的工作原理 (7)2.5.2 校时电路的设计 (8)3 设计并绘制整机图 (9)总结 (10)致谢 (11)参考文献 (11)引言从上个世纪末到现在,电子产品已经悄无声息地渗透到社会的各行各业。
随着社会的快速发展,我们的生活节奏越来越快,电子产品的性能也越来越好、更新速度也越来越快,对社会生产力的提高、现代化和信息化社会的建立提供了持续的动力。
一寸光阴一寸金,时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。
如果遇到重要事情,一时的耽误甚至会酿成大祸。
而数字计时器的出现则减少了这些灾难的出现,并给人们生产生活带来了极大的方便。
计时器计时器
![计时器计时器](https://img.taocdn.com/s3/m/35aaed4976232f60ddccda38376baf1ffc4fe38a.png)
摘要本设计是脉冲数字电路的简单应用,设计了篮球竞赛12分钟和24秒倒计时器。
此计时器可以直接清零、启动、暂停和连续以及具有报警功能,同时应用了七段数码管来显示时间。
此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出报警信号。
本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能。
本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。
控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。
当控制电路的置数开关闭合时,在数码管上显示数字24,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警与蜂鸣信号。
同样当12分钟递减到零时也会出现声音的提醒。
关键词:计数器24秒倒计译码显示电路控制电路报警电路目录第一章总体设计思路、基本原理和框图 (3)1、设计要求 (3)2、基本原理 (3)3、总体设计框图 (4)第二章单元电路设计与方案比较(各单元电路图) (4)1、秒脉冲发生器的设计 (4)2、秒、分倒计数器的设计 (5)3、译码器和显示器的设计 (7)4、节次控制电路的设计 (7)第三章器件选择 (7)1 设计所需器件 (7)2 器件介绍 (8)(一)十进制可逆计数器74LS192(二)二输入四与非门74LS00第四章总原理图 (9)第五章安装调试,性能测试和结果分析 (10)第六章心得体会和课程总结 (11)第七章附录(元器件清单) (12)第八章参考文献 (13)第一章、总体设计思路、基本原理和框图一、设计要求1、篮球比赛采取四进制,每节12分钟,要求能够计时;2、篮球比赛采取进攻24秒制,要求能够倒数计时;3、要求时间用数码管表示出来;4、要求可以手动控制计时,即能够随时暂停,启动后可以继续读数,并能够对技数进行清零;5、要求每节结束、全场结束和24秒结束时才能够自动进行声音提示。
计时器电路设计方案
![计时器电路设计方案](https://img.taocdn.com/s3/m/a1c538076529647d26285259.png)
计时器电路设计方案1 总体电路结构设计1.1 电路功能与性能计时器电路的功能主要集中在五方面。
一是对按键消抖的控制(电平检查、消抖命令延时、消抖完成前延时、完成消抖后平稳电平输出等)为主的输入信号的采集与处理;二是对输入全局时钟分频,获取所需时钟;三是进行时间的正常计时和可以调整时间,如正常的记录时、分、秒,可以准确调时、分、秒等;四是正常利用八位数码管进行译码显示;五是处理维护和配置信息,如层停留时间、运行速度等信息。
电路的具体功能细节罗列如下:1)计时电路输入10KHZ的基准时钟,利用10K计数器和200计时器进行计数分频,获取1HZ的自动扫描计时时钟及50Hz的数码管扫描时钟。
2)消抖模块分为电平检查和10ms延时;通过按键输入电平信号,检查模块对电平进行检测,发送所需脉冲,若10ms延时模块检测到所发脉冲,并对该脉冲进行触发10ms的过滤抖动,然后输出正常脉冲信号。
3)经过按键消抖发出的平稳电平信号,校时模块就会对获取相应管脚约束所发送的按键信号,并进行时、分、秒的调整。
4)计时模块采用24进制计数器,10进制计数器,6进制计数器分别对时分秒高低位计数,检测到时钟分频器所分的1HZ时钟信号便自动开始扫描计时,自动产生进位计数。
5)利用多路选择器,即多路复用器,进行对时分秒高位地位进行选通,并发送到译码显示器,进行数字输出。
6)利用八位数码管显示输出,采取七段数码管译码方式对0~9进行译码输出。
7)电路根据流水线设计方法,按键消抖和计时器运行状态……8)配置信息通过……,目的计时信号处理……。
1.2 主要按键消抖法本电路设计的主要难点在于按键的消抖及调整时分秒上,也就是如何获取稳定的电平信号进行时间调整。
经过功能分析,决定采用电平检查及消抖延时的方式进行调度与输出控制。
其中电平检测模块会根据电平发生变化产生的不同命令,然后进入延时模块当中。
接着,延时模块会根据输送进入的命令在执行相关的延时操作并且进一步决定输出。
倒计时器的设计
![倒计时器的设计](https://img.taocdn.com/s3/m/f191774c27284b73f24250ec.png)
倒计时器的设计前言电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。
在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。
计时器是采用数字电路实现的数字显示计时装置。
本系统由振荡器,计数器,译码器,LED显示器组成。
采用74LS系列中小规模集成芯片。
计时器是用数字集成电路做成的现代计时器,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点。
而且钟表的数字化给人们生产生活带来了极大的方便。
本设计主要能完成一下功能:显示59秒倒计时功能;系统设置外部操作开关,控制计时器的启动和暂停/继续功能;计时器为59秒递减计时其计时间隔为1秒;计时器递减计时到“00”同时报警电路发出声音报警,延时5秒等。
整个电路的设计借助于Multisim仿真软件以及数字电路相关理论知识,并在Multisim 下设计和进行仿真,得到了预期的结果。
一.设计要求1.设计一个有“秒”(59秒)显示且倒计时功能计数器2.用小规模集成电子钟,有启动,暂停,继续计时功能3.倒计时完成后具有声音报警功能。
4.画出框图和逻辑电路图、写出设计总结报告二、设计目的:在学完了《数字电子技术》课程的基本理论后,能够综合运用所学知识设计和制作实际需要的简单电子电路,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题解决问题的能力。
理解倒计时器工作原理,实现以中小规模集成电路设计计时器的方法,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
它是由时钟脉冲产生电路、计数电路、译码驱动及显示电路、报时电路及电源电路组成。
时钟脉冲采用555定时器构成多谐振荡电路产生,通过Multisim绘制了电子电路仿真原理图,并进行仿真,同时用万能板焊接制作了硬件实现电路。
篮球比赛24秒倒计时电路设计数电课程设计
![篮球比赛24秒倒计时电路设计数电课程设计](https://img.taocdn.com/s3/m/9aa95014227916888486d77b.png)
长沙学院数电课程设计说明书题目篮球比赛24秒倒计时显示电路设计系(部)专业(班级)姓名学号指导教师起止日期数字电子技术课程设计任务书(12)系(部):专业:指导教师:课题名称篮球比赛24秒倒计时显示电路设计设计内容及要求基本任务:1.十进制显示“秒”。
2.具有手动启动和复位功能,能实现连续计时,暂停和报警功能。
扩展任务:1.能将24秒倒计时功能转换成秒表功能。
2.能精确到秒后一位。
设计工作量1、系统整体设计;2、系统设计及仿真;3、在Multisim或同类型电路设计软件中进行仿真并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,参考文献、设计总结等。
进度安排起止日期(或时间量)设计内容(或预期目标)备注第一天课题介绍,答疑,收集材料第二天设计方案论证第三天进行具体设计第四天进行具体设计第五天编写设计说明书教研室意见年月日系(部)主管领导意见年月日长沙学院课程设计鉴定表姓名学号专业班级设计题目指导教师指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录前言 (4)一、设计任务 (5)二、设计框图 (5)三、设计的主要元器件选择及其构成电路工作原理 (6)1,NE555芯片 (6)2,计时器74LS192芯片 (6)3,控制电路的选择 (7)4,LED、蜂鸣器组成的声光报警电路 (7)四、设计总图及电路仿真 (8)1,总设计图(仿真图) (8)2,设计工作原理 (8)3,仿真结果 (9)五、设计总结 (10)六、心得体会 (11)七、参考文献 (11)前言篮球比赛倒计时24秒电路设计,本设计是脉冲数字电路的简单应用,此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有报警功能,同时应用了七段数码管来显示时间。
此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出报警信号。
[单片机课设]秒表时钟计时器的设计
![[单片机课设]秒表时钟计时器的设计](https://img.taocdn.com/s3/m/7f7276faba0d4a7302763aaf.png)
单片机原理课程设计——秒表时钟计时器的设计专业:电气工程及其自动化方向:电力系统*****学号:************指导老师:***目录第1章方案论证 (3)第2章硬件设计 (5)2.1秒表/时钟计时器的总体设计 (5)2.2 AT89C52单片机最小系统 (6)2.3 74LS244芯片说明 (8)2.4 LED显示器的显示方法及其与单片机的接口 (8)2.5电源电路的设计 (10)第3章软件设计 (11)3.1主程序 (11)3.2显示子程序 (11)3.3定时器T0中断服务程序 (12)3.4 T1中断服务程序 (12)3.5调时功能程序 (13)3.6整点响程序 (13)3.7时钟/秒表功能程序 (13)3.8 程序清单 (13)第4章设计总结 (23)第1章方案论证现今的计时器通常只能通过启/停按键实现断点计时的功能,即通过启/停按键来记录一段时间。
这种计时器查看的时间只能为计时结束时刻。
实际的应用中往往需要在不影响正常计时的基础上,能查看记录过程中的某些点的时间。
本课设即针对此问题,设计了一种能通过按键方式查看记录过程中任一时刻值的计时器。
这种计时器在查看中间值时不会影响整个记录过程,并且能把相应数据送入存储模块及显示模块,以便查看。
本系统采用AT89C52单片机作控制器,LED数码管,实现显示时、分、秒,以24小时计时方式。
为了实现LED显示器的数字显示,可以采用静态显示法和动态显示法。
由于静态显示法需要数据锁存器等硬件,结构较为复杂,考虑时钟显示只有六位,且系统没有其他复杂的处理任务,所以采用动态扫描法实现LED的显示。
单片机采用AT89C52系列,有足够的空余硬件资源实现其它的扩充功能。
秒表/时钟计时器的总体设计框图如下图所示。
图1.1 系统总体设计框图系统主要实现如下功能:1.时钟功能对于时钟功能,需要在数码管上显示小时、分钟和秒钟,因此,可以在内部存储空间分别定义它们的显示缓存空间,来存放小时、分钟和秒钟的BCD码,各2个字节。
数电课程设计-篮球12分24秒计时器
![数电课程设计-篮球12分24秒计时器](https://img.taocdn.com/s3/m/a6c2673b83c4bb4cf7ecd170.png)
篮球计时系统的设计与制作一、分电路设计1、12分钟部分设计:(1)12分钟倒计时秒部分。
运用两片可逆计数器74LS192来构成60进制的减法器。
这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,置数、清零端无效,即可以实现十进制的倒计数功能。
而最低位的计数变化应当与时钟脉冲的变法同步。
所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让秒钟部分的个位完成从0—9—8—7—6—5—4—3—2—1—0的计时特点。
该秒钟部分的十位与个位的计数进制不同,是将计数芯片74LS192接成六进制的计时器,让其实现从0—5—4—3—2—1—0的倒计时特点,所以必须要置数当计时到0时,UP常接高电平,每当DOWN端来一个上升沿脉冲时,就置数为5,所以将芯片的A和C端接高电平,B,D端接低电平这样就完成了置数功能。
要将两芯片组成一个60进制的减法计数器就要将12分钟的秒十位的DOWN端连接到秒个位的借位输出端BO,,其功能是当秒个位减到0时,借位输出端输出一个低电平,秒十位的DOWN端就来了一个低电平,这样等到下次高电平来的时候,十位就计数一次,这样就连成了一个60进制计数器。
具体电路图如下:(2)12分钟倒计时分部分。
运用两片可逆计数器74LS192来构成分别构成一个二进制和一个十进制的减法器。
这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,不同于12分钟秒个位,其置数端要置为2使计数从2开始减法计数,所以将芯片的B接高电平,A,C,D端接低电平这样就完成了置数功能。
清零端无效,即可以实现十进制的倒计数功能。
而最低位的计数变化应当与时钟脉冲的变法同步。
所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让分钟部分的个位完成从2—1—0—9—8—7—6—5—4—3—2的计时特点。
数字电子钟的设计电路图pcb图
![数字电子钟的设计电路图pcb图](https://img.taocdn.com/s3/m/89daa4cdc5da50e2534d7f65.png)
数字电子钟的设计与制作一、设计概述1.设计任务➢时钟脉冲电路设计➢60进制计数器设计➢24进制计数器设计➢“秒”,“分”,“小时”脉冲逻辑电路设计➢“秒”,“分”,“小时”显示电路设计➢“分”,“小时”校时电路➢整点报时电路2.功能特性➢设计的数字钟能直接显示“时”,“分”,“秒”,并以24小时为一计时周期。
➢当电路发生走时误差时,要求电路具有校时功能。
➢要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。
3.原理框图图 1 原理框图二、设计原理数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。
校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
三、设计步骤1.计数器电路根据计数周期分别组成两个60进制(秒、分)和一个24进制(时)的计数器。
把它们适当连接就可以构成秒、分、时的计数,实现计时功能。
CC4518的符号如图,一个芯片集成了两个完全相同的十进制计数器,其异步清零信号CR是高电平有效。
24秒倒计时电路的简单制作
![24秒倒计时电路的简单制作](https://img.taocdn.com/s3/m/ab542f36647d27284b7351f8.png)
24秒倒计时电路的简单制作本设计采用555作为振荡电路,由74LSl92、74LS48和七段共阴LED数码管构成计时电路,具有计时器直接复位、启动、暂停、连续计时和报警功能。
该电路制作、调试简单,采用普通器件,一装即成。
一、电路组成电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见右图。
其整机电路如下图所示,印制板电路如左图所示。
1.秒脉冲发生器秒脉冲产生电路由555定时嚣和外接元件R1、R2、C构成多谐振荡器。
输出脉冲的频率为:经过计算得到f≈1Hz即1秒。
2.计数器计数器由两片74LS192同步十进制可逆计数器构成。
利用减计数Rd=0,反向=0,CPd=1,实现计数器按8421码递减进行减计数。
利用借位输出端反向BO与下一级的CPd连接,实现计数器之间的级联。
利用预置数反向LD端实现异步置数。
当Rd=0,且反向LD=0时,不管CPu和CPd时钟输入端的状态如何,将使计数器的输出等于并行输人数据,即Q3Q2Q1Q0=D3D2D1D0。
3.译码及显示电路本电路由译码驱动74LS48和7段共阴数码管组成。
74LS48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。
4.控制电路完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。
控制电路由IC5组成。
IC5B受计数器的控制。
IC5C、IC5D组成RS触发器,实现计数器的复位、计数和保持“24”、以及声、光报警的功能。
(1)K1:启动按钮。
K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持“24”状态不变,处于等待状态。
当K1闭合时,计数器开始计数。
(2)K2:手动复位按钮。
当接下K2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即“24”。
当松开K2时,计数器从24开始计数。
(3)K3:暂停按钮。
当“暂停/连续”开关处于“暂停”时,计数器暂停计数,显示器保持不变,当此开关处于“连续”开关,计数器继续累计计数。
(整理)数字电路课程设计实例---24秒计时器
![(整理)数字电路课程设计实例---24秒计时器](https://img.taocdn.com/s3/m/f721d9b9a0116c175e0e482a.png)
1 计数器概述1.1篮球竞赛24秒计时器功能数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。
因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。
在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。
本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。
一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。
本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。
此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能。
而在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号。
1.2设计任务及要求1.2.1 基本要求(1)显示24秒计时功能。
(2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。
(3)在直接清零时,要求数码显示器灭灯。
(4)计时器为24秒递减计时器,其计时间隔为1秒。
(5)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。
(6)秒脉冲由555多谐振荡器给出。
1.2.2设计任务及目标(1)根据原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的装接、调试、直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告1.2.3 主要参考器件555 晶体定时器74 LS74双D触发器74LS47译码器74192双时钟十进制计数器2 电路设计原理与单元模块2.1设计原理24秒计时器的总体参考方案框图如图2.1所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。
其中计数器和控制电路是系统的主要模块。
计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
计时器电路设计
介绍
计时器是一种广泛应用于各个领域的电子设备,用于测量时间和计时。
它可以在工业、科学实验、运动比赛等场景中起到非常重要的作用。
在本文中,我们将深入探讨计时器电路的设计原理、常见的电路结构和一些注意事项。
设计原理
计时器电路的设计原理基于时钟信号和计数器。
时钟信号是一个周期性变化的信号,可以通过晶体振荡器、RC电路或者外部信号源来提供。
计数器是一个用于计数和
存储时间的电子元件,它可以根据时钟信号的输入进行状态变化。
通过将时钟信号输入计数器,我们可以实现对时间的精确测量和计时。
常见的电路结构
1. 二进制计数器
二进制计数器是最常见的计时器电路之一。
它由多个触发器组成,每个触发器都有两个稳定的状态(0或1)。
当时钟信号的边沿到达时,触发器的状态会根据其输
入进行变化。
通过串联多个触发器,我们可以实现更大范围的计数。
2. 时钟分频器
时钟分频器是一种用于减小时钟频率的电路。
它可以将高频时钟信号分频为低频时钟信号,以适应特定的应用需求。
时钟分频器通常使用计数器来实现,通过设定计数器的初始值和计数阈值,可以实现不同的分频比。
3. 定时器
定时器是一种能够在指定时间间隔内产生触发信号的电路。
它通常由一个计数器和比较器组成。
计数器根据输入的时钟信号进行计数,当计数值达到预设的比较值时,比较器会产生触发信号。
定时器广泛应用于定时开关、闹钟、计时器等场景。
4. 脉冲宽度调制器(PWM)
脉冲宽度调制器是一种能够通过调节脉冲信号的宽度来控制输出信号的电路。
它通常由一个计数器和比较器组成。
计数器根据输入的时钟信号进行计数,当计数值小于比较值时,输出信号为高电平;当计数值大于比较值时,输出信号为低电平。
通过调节比较值,可以实现不同占空比的脉冲信号。
设计要点
在设计计时器电路时,有一些要点需要注意。
1. 选择合适的计数器
根据应用需求选择合适的计数器非常重要。
不同的计数器具有不同的计数范围和精度。
在选择计数器时,需要考虑计数范围是否满足需求、精度是否足够高以及功耗和成本等因素。
2. 时钟信号的稳定性
时钟信号的稳定性对计时器的精度有着重要影响。
选择稳定性较高的时钟源,如晶体振荡器,可以提高计时器的准确性。
此外,还可以使用锁相环(PLL)等技术来对时钟信号进行进一步的稳定和调整。
3. 噪声和干扰抑制
在计时器电路中,噪声和干扰可能会对计时精度造成影响。
因此,需要采取一些措施来抑制噪声和干扰的影响。
例如,可以使用滤波器、屏蔽和隔离等技术来减少外部干扰的影响。
4. 温度补偿
温度对计时器电路的稳定性和准确性有着重要影响。
在一些高精度的应用中,需要对计时器电路进行温度补偿,以保持其稳定性。
常见的温度补偿方法包括使用温度传感器和校准算法等。
总结
计时器电路是一种广泛应用于各个领域的电子设备,它可以实现对时间的精确测量和计时。
本文介绍了计时器电路的设计原理、常见的电路结构和一些注意事项。
在设计计时器电路时,需要选择合适的计数器、注意时钟信号的稳定性、抑制噪声和干扰以及进行温度补偿等。
通过合理的设计和优化,可以实现高精度和稳定的计时器电路。
参考文献
1.Smith, R. W. (1993). “Digital time-interval measurement
circuits”. Proceedings of the IEEE. 81 (7): 1030–1048.
2.Horowitz, P., & Hill, W. (1989). The art of electronics. Cambridge
University Press.。