简易频率计设计(数电课设)

合集下载

简易频率计设计课程设计

简易频率计设计课程设计

简易频率计设计课程设计一、课程目标知识目标:1. 理解频率的概念,掌握频率的计算方法;2. 了解简易频率计的设计原理,掌握其制作步骤;3. 学会运用简易频率计进行实际测量,并能够分析实验数据。

技能目标:1. 培养学生动手操作能力,能够独立完成简易频率计的制作;2. 培养学生运用所学生物知识解决实际问题的能力,提高实验操作技能;3. 提高学生团队协作能力,能够在小组合作中共同完成实验任务。

情感态度价值观目标:1. 激发学生对科学研究的兴趣,培养其探索精神和创新意识;2. 培养学生严谨的科学态度,注重实验数据的准确性和实验操作的规范性;3. 增强学生的环保意识,使其关注生活中的频率现象及其应用。

本课程针对八年级学生,结合物理学科特点,以简易频率计设计为主题,旨在让学生在掌握基础知识的基础上,提高实践操作能力和团队合作能力。

课程目标具体、可衡量,便于学生和教师在教学过程中明确预期成果,为后续教学设计和评估提供依据。

二、教学内容1. 理论知识:- 频率的基本概念及其单位;- 频率计算公式及其应用;- 简易频率计的工作原理。

2. 实践操作:- 简易频率计的组装与调试;- 实际物体频率的测量与记录;- 实验数据的处理与分析。

3. 教学大纲安排:- 第一课时:学习频率的基本概念、计算公式及其单位,介绍简易频率计的工作原理;- 第二课时:分组讨论简易频率计的组装方法,进行实践操作;- 第三课时:学生独立完成简易频率计的组装与调试,进行实际物体频率的测量;- 第四课时:对实验数据进行处理和分析,总结实验结果。

教学内容参考教材《物理》八年级下册第二章《振动与波》的相关内容,结合课程目标进行组织,确保科学性和系统性。

教学内容涵盖理论与实践,注重培养学生的动手能力和实际应用能力,使学生在掌握基础知识的同时,能够将所学应用于实际生活中。

三、教学方法本课程采用以下多元化的教学方法,旨在激发学生的学习兴趣,提高学生的主动性和实践能力:1. 讲授法:- 通过生动的语言和形象的比喻,讲解频率的基本概念、计算公式及其单位,帮助学生建立扎实的理论基础;- 结合教材内容,阐述简易频率计的工作原理,使学生理解科学原理在实际应用中的重要性。

简易频率计设计课程设计

简易频率计设计课程设计

简易频率计设计课程设计一、教学目标本课程旨在通过学习简易频率计的设计与实现,让学生掌握基础的电子电路知识,频率测量原理以及相关的实验技能。

在知识目标上,要求学生能够理解并描述频率计的工作原理,掌握基本的电路设计方法。

在技能目标上,要求学生能够独立完成简易频率计的搭建,并进行相关实验。

在情感态度价值观目标上,通过课程的学习,使学生培养对科学研究的兴趣,增强解决实际问题的能力,并培养团队协作的精神。

二、教学内容本课程的教学内容主要包括以下几个部分:首先,介绍频率计的基本原理,包括频率测量原理,频率计的组成结构等;其次,讲解频率计的设计方法,包括电路设计,元件选型,系统调试等;然后,通过实验操作,使学生能够熟练使用简易频率计,掌握相关的实验技能;最后,进行课程设计,使学生能够将所学的知识运用到实际问题中,提高解决实际问题的能力。

三、教学方法为了达到本课程的教学目标,将采用多种教学方法相结合的方式进行教学。

首先,将采用讲授法,为学生讲解频率计的基本原理和设计方法;其次,将采用实验法,让学生通过实际操作,掌握频率计的使用方法和实验技能;同时,将采用讨论法,引导学生进行思考,提出问题,并寻找解决问题的方法;最后,将采用案例分析法,让学生通过分析实际案例,将所学的知识运用到实际问题中。

四、教学资源为了支持本课程的教学内容和教学方法的实施,将准备多种教学资源。

首先,将使用教材《简易频率计设计与实现》作为主要的教学资源;其次,将提供相关的参考书籍,供学生进行深入的学习;同时,将利用多媒体资料,如教学视频,实验操作演示等,丰富学生的学习体验;最后,将准备实验设备,如简易频率计,电子元件等,供学生进行实验操作。

五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分。

平时表现主要评估学生的课堂参与度、提问回答和团队协作等情况,占总分的30%。

作业主要评估学生的理解和应用能力,占总分的30%。

考试主要评估学生对课程知识的掌握和运用能力,占总分的40%。

数电课设报告----简易频率计

数电课设报告----简易频率计

数电课设报告简易频率计班1111电工姓名:张炫2011128085彭松2011128047蒋凯2011128026 吴棒2011123130 指导老师:吴学第一章系统概述一、设计方案的选择1. 计数法2. 计时法二、整体方框图及原理第二章单元电路的设计一、时基电路设计二、闸门电路设计三、控制电路设计四、小数点电路的设计五、整体电路设计六、原理图和PCB的设计第三章设计小结一、设计任务完成情况二、问题及改进三、心得体会题目:简易数字频率计1.显示位数至少是4位的十进制数,可以适当增加位数。

2.量程,有四个档位(第一档:最大读数9.999KHZ ,第二档:最大读数99.99KHZ ,第三档:最大读数999.9KHZ ,第四档:最大读数9999.KHZ3.用7段LED数码管显示读数。

摘要:本次课设是针对简易频率计的设计,在设计过程中,所有电路的仿真全是基于proteus的仿真,可以几乎100%的仿真出真实的电路结果,而且能在桌面上提供万用表,示波器,信号发生器,扫描仪,逻辑分析仪,数字信号发生器等器件。

本课程设计介绍了简易频率计的设计方案和基本原理,并着重介绍了频率计各单元电路的设计思想,原理及仿真。

整体电路的工作原理及控制器件的工作情况。

整个电路配以仿真电路图和波形图加以辅助说明。

设计共有三大组成部分:一是原理图的设计,本部分详细介绍了电路的理论实践,是关键部分;二是仿真结果及分析这部分是为了分析电路是否按理论那样工作,便于理解。

三是性能测试,这部分用于测试设计是否符合任务要求。

最后是本课程设计的总结。

关键字:频率计, 时基电路,逻辑控制,分频,计数,逻辑显示。

第一章系统概述一、设计原理及方案数字频率计就是直接用十进制的数字来显示被测信号频率。

可以测的方波的频率,通过放大正行处理,它可还以测量正弦波、三角波和尖脉冲信号的频率。

所谓频率就是在单位时间(1s)内周期信号的脉冲个数。

若在一定时间间隔T内测得周期信号的脉冲个数N,则其频率为f=N/T,据此,设计方案框图如图1所示:闸门电路------------------------------ _________________________分频器图1 数字频率计组成框图图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被测信号的频率f xo,时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号也就是闸门信号持续时间也会等于1s。

简易频率计的设计课程设计

简易频率计的设计课程设计

简易频率计的设计课程设计一、课程目标知识目标:1. 理解并掌握频率的概念,了解频率在电子技术中的应用。

2. 学习简易频率计的设计原理,掌握相关电子元件的功能和连接方式。

3. 掌握简易频率计的电路图绘制方法,理解电路工作原理。

技能目标:1. 能够运用所学知识,设计并搭建一个简易频率计电路。

2. 学会使用相关测量工具和仪器,对简易频率计进行调试和优化。

3. 提高动手实践能力,培养解决实际问题的能力。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识。

2. 培养学生团队合作精神,学会分享和交流。

3. 增强学生环保意识,养成爱护电子设备的好习惯。

本课程针对初中年级学生,结合电子技术基础知识,设计简易频率计课程。

通过本课程的学习,学生能够掌握频率相关知识,提高动手实践能力,培养创新意识和团队合作精神。

课程目标具体、可衡量,为后续教学设计和评估提供明确方向。

在教学过程中,注重理论与实践相结合,充分调动学生的主观能动性,培养符合时代需求的技能型人才。

二、教学内容1. 频率概念及其应用:介绍频率的定义、单位,频率在电子技术中的应用。

相关教材章节:第一章第三节“频率与周期”2. 简易频率计设计原理:讲解简易频率计的工作原理,分析电路中各元件的作用。

相关教材章节:第二章第五节“简易频率计的设计与应用”3. 电子元件及电路连接:学习常用电子元件的功能、符号及使用方法,掌握电路连接技巧。

相关教材章节:第二章第一节“常用电子元件”和第二节“电路的连接方法”4. 简易频率计电路图绘制:学习电路图的绘制方法,根据设计原理绘制简易频率计电路图。

相关教材章节:第二章第四节“电路图的绘制”5. 电路搭建与调试:动手搭建简易频率计电路,使用测量工具进行调试,优化电路性能。

相关教材章节:第三章第二节“电路搭建与调试方法”6. 实践操作与总结:分组进行实践操作,交流心得体会,总结课程所学内容。

相关教材章节:第三章第三节“实践操作与总结”教学内容安排和进度:第一课时:频率概念及其应用,简易频率计设计原理第二课时:电子元件及电路连接,简易频率计电路图绘制第三课时:电路搭建与调试,实践操作与总结教学内容科学系统,注重理论与实践相结合,旨在帮助学生掌握简易频率计的设计与应用,培养动手实践能力和创新意识。

电子课程设计简单频率计

电子课程设计简单频率计

电子课程设计简单频率计一、教学目标本课程旨在通过学习电子课程设计简单频率计,让学生掌握基本的电子电路知识,培养学生运用科学的方法和技能进行电子设计与制作的实践能力。

同时,通过课程的学习,使学生认识到电子技术在现代社会中的广泛应用,培养学生的创新精神和团队合作意识。

具体的教学目标如下:1.了解频率计的基本原理和结构;2.掌握常用的电子元器件的功能和用途;3.学习简单的电子电路设计方法。

4.能够运用万用表、示波器等仪器进行电子电路的测试;5.能够根据设计要求,选用合适的元器件,进行简单的电子电路设计与制作;6.能够对电子电路进行调试和故障排除。

情感态度价值观目标:1.培养学生对电子技术的兴趣和好奇心,激发学生的学习热情;2.培养学生团队合作、积极探究的科学精神;3.使学生认识到电子技术在现代社会中的重要性,增强学生的社会责任感和使命感。

二、教学内容本课程的教学内容主要包括以下几个部分:1.频率计的基本原理和结构;2.常用的电子元器件的功能和用途;3.简单的电子电路设计方法;4.电子电路的制作与调试技巧。

具体的教学安排如下:第一课时:介绍频率计的基本原理和结构;第二课时:学习常用的电子元器件的功能和用途;第三课时:学习简单的电子电路设计方法;第四课时:进行电子电路的制作与调试实践。

三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学。

具体包括:1.讲授法:讲解频率计的基本原理和结构,电子元器件的功能和用途,以及电子电路的设计方法;2.实验法:通过实际操作,让学生掌握电子电路的制作和调试技巧;3.小组讨论法:引导学生进行团队合作,共同探讨和解决问题。

四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:《电子技术基础》;2.参考书:电子元器件手册、电子电路设计手册等;3.多媒体资料:电子电路原理图、实验操作视频等;4.实验设备:电子实验板、万用表、示波器等。

五、教学评估本课程的教学评估将采用多元化的评价方式,以全面、客观、公正地评估学生的学习成果。

简易频率计课程设计

简易频率计课程设计

简易频率计课程设计一、课程目标知识目标:1. 学生能理解频率的基本概念,掌握频率的计算公式。

2. 学生能了解简易频率计的原理,明白其工作过程。

3. 学生掌握如何使用简易频率计进行实验,并能正确读取实验数据。

技能目标:1. 学生能够运用所学知识,动手搭建简易频率计,提高动手实践能力。

2. 学生能够运用计算器或编程软件进行频率的计算,提高数据处理能力。

3. 学生能够通过实验,观察现象,分析问题,培养科学探究能力。

情感态度价值观目标:1. 学生对物理学科产生兴趣,认识到物理知识在实际生活中的应用。

2. 学生养成合作学习的习惯,学会与他人分享实验成果,培养团队精神。

3. 学生通过实验,培养严谨的科学态度和探究精神,树立正确的价值观。

课程性质:本课程为物理学科实验课程,旨在通过实践操作,让学生深入了解频率相关知识。

学生特点:学生为八年级学生,已具备一定的物理知识基础,动手实践能力较强,对新鲜事物充满好奇心。

教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,鼓励学生积极参与实验,培养学生的实践能力和科学素养。

通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高解决问题的能力。

二、教学内容1. 理论知识:- 频率的基本概念:频率的定义、单位、与周期的关系。

- 频率计算公式:频率=1/周期。

- 简易频率计的原理:利用电子元件(如555定时器)产生稳定的方波信号,通过计数器进行计数,计算频率。

2. 实践操作:- 搭建简易频率计电路:学生分组进行实验,根据电路图搭建简易频率计。

- 实验操作步骤:调整信号发生器产生不同频率的信号,使用简易频率计进行测量,记录数据。

3. 教学大纲:- 第一课时:讲解频率的基本概念,让学生了解频率的定义和单位,学会计算频率。

- 第二课时:介绍简易频率计的原理,引导学生学习电路图,了解各元件的作用。

- 第三课时:分组实验,学生动手搭建简易频率计,进行频率测量,记录实验数据。

51简易频率计课程设计

51简易频率计课程设计

51简易频率计课程设计一、课程目标知识目标:1. 学生能理解并掌握简易频率计的基本原理和功能。

2. 学生能运用所学的电子元件和电路知识,设计并搭建一个简易频率计。

3. 学生能通过实验和数据分析,掌握频率、周期等基本物理概念。

技能目标:1. 学生能够运用所学知识,独立完成简易频率计的搭建和调试。

2. 学生能够运用电子测量工具,进行数据采集、处理和分析。

3. 学生能够通过团队协作,解决实际操作过程中遇到的问题。

情感态度价值观目标:1. 学生培养对电子科技的兴趣和热情,提高创新意识和动手能力。

2. 学生在实践过程中,体验科学探究的乐趣,增强对科学研究的信心和好奇心。

3. 学生通过团队协作,培养合作精神、沟通能力和共享成果的意识。

本课程针对五年级学生,结合电子技术基础知识,以简易频率计为载体,旨在让学生在动手实践中掌握基本原理和技能。

课程注重培养学生的实际操作能力、团队协作能力和科学素养,为后续深入学习电子技术打下坚实基础。

通过对课程目标的分解和实现,教师可以更好地进行教学设计和评估,确保学生在知识、技能和情感态度价值观方面的全面发展。

二、教学内容1. 简易频率计原理:介绍频率、周期的基本概念,讲解简易频率计的工作原理和电路组成。

相关教材章节:第五章第三节“频率与周期”;第六章第一节“简易频率计的原理与设计”。

2. 电子元件认知:学习常用电子元件(如电阻、电容、二极管、三极管等)的原理和功能。

相关教材章节:第三章“常用电子元件及其特性”。

3. 电路设计与搭建:学习并实践简易频率计电路的设计方法,掌握电路搭建和调试技巧。

相关教材章节:第六章第二节“简易频率计电路设计”;第六章第三节“电路搭建与调试”。

4. 数据采集与处理:运用电子测量工具进行数据采集,学习数据处理和分析方法。

相关教材章节:第四章“数据采集与处理”。

5. 团队协作与成果展示:分组进行项目实践,培养学生团队协作能力,展示并分享项目成果。

相关教材章节:第二章“科学探究方法与实践”。

简易数字频率计(数字电路课程设计)

简易数字频率计(数字电路课程设计)

数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1H Z—9.999K H Z,闸门时间1s;10 H Z—99.99K H Z,闸门时间0.1s;100 H Z—999.9K H Z,闸门时间10ms;1 K H Z—9999K H Z,闸门时间1ms;2)显示方式:四位十进制数3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:(1)整体电路数显式频率计电路(2)单元电路设计;(a)时基电路信号号(b)放大逻辑电路信号通信号(c)计数、译码、驱动电路号(3)说明电路工作原理;四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。

两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。

当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。

当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。

其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。

时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。

计数公式:]3)2243[(443.1CRRRf++=来确定。

与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。

课程设计实验报告(简易频率计)

课程设计实验报告(简易频率计)

实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。

(2)掌握ispLEVER 软件的使用方法。

(3)掌握用VHDL 语言设计数字逻辑电路。

(4)掌握ISP 器件的使用。

二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。

闸门只有1s 一档。

测量结果在数码管上显示出来。

不测信号脉宽。

用一片ISP芯片实现此设计,并在实验台上完成调试。

实验设计:1.产生准确闸门信号(1s)。

100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。

6.数码管高低位的接线。

VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。

简易频率计课程设计报告

简易频率计课程设计报告

简易频率计课程设计报告一、课程目标知识目标:1. 理解频率的基本概念,掌握频率的定义及计算方法;2. 了解简易频率计的原理,学会使用简易频率计进行频率测量;3. 能够运用频率知识解释日常生活中的相关现象。

技能目标:1. 学会使用简易频率计进行实验操作,提高实验操作能力;2. 能够运用频率计算公式进行数据处理,提高数据分析能力;3. 通过小组合作,提高沟通协作能力。

情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学生的探究欲望;2. 培养学生严谨的科学态度,注重实验数据的准确性;3. 增强学生的环保意识,关注频率相关领域的科技发展。

本课程针对初中物理学科,结合学生年级特点,注重理论与实践相结合,提高学生的实验操作能力和数据分析能力。

在教学过程中,关注学生的个体差异,激发学生的学习兴趣,培养学生的团队合作精神。

通过本课程的学习,使学生能够掌握简易频率计的使用,并将其应用于实际生活中,达到学以致用的目的。

同时,注重情感态度价值观的培养,引导学生关注科学进步,提高学生的综合素质。

二、教学内容1. 频率基本概念:引入频率的定义,解释频率与周期的关系,阐述频率在实际应用中的重要性。

2. 简易频率计原理:介绍简易频率计的结构、工作原理及使用方法,结合教材相关章节,进行图文并茂的讲解。

3. 频率测量实验:组织学生进行简易频率计的实验操作,包括搭建实验装置、进行频率测量以及数据处理。

- 教材章节:第三章第三节《频率与振动》- 内容列举:频率的定义、频率与周期的关系、简易频率计的结构与原理、实验操作步骤。

4. 数据处理与分析:指导学生运用频率计算公式进行数据处理,分析实验结果,探讨影响频率测量结果的因素。

5. 课堂讨论与总结:针对实验过程中遇到的问题和现象,组织学生进行讨论,引导学生运用所学知识进行解释,总结实验经验和教训。

教学内容根据课程目标进行科学性和系统性的组织,注重理论与实践相结合。

在教学过程中,依据教材章节进行教学大纲的制定,明确教学内容的安排和进度,确保学生在掌握频率知识的基础上,能够顺利进行简易频率计的实验操作和数据分析。

简易频率计课程设计

简易频率计课程设计

简易频率计课程设计一、课程目标知识目标:1. 学生能理解频率计的基本工作原理,掌握其电路组成和功能。

2. 学生能运用已学过的电子元件,设计并搭建一个简易频率计。

3. 学生能够掌握频率、周期等基本概念,并了解它们在实际应用中的重要性。

技能目标:1. 学生通过动手实践,提高电子电路搭建和调试的能力。

2. 学生能够运用所学知识解决实际问题,培养创新思维和动手能力。

3. 学生学会查阅相关资料,提高自主学习能力。

情感态度价值观目标:1. 学生在课程学习过程中,培养对电子技术的兴趣,激发探究精神。

2. 学生在团队合作中,学会互相尊重、沟通与协作,培养团队精神。

3. 学生能够认识到科技对社会发展的作用,增强社会责任感和创新意识。

课程性质:本课程为实践性课程,结合理论教学,注重培养学生的动手能力和创新思维。

学生特点:本年级学生具备一定的电子基础知识,对新鲜事物充满好奇,喜欢动手实践。

教学要求:教师需结合课本知识,引导学生主动参与课程,关注学生个体差异,鼓励学生提出问题和解决问题。

在教学过程中,注重理论与实践相结合,培养学生的实际操作能力。

通过课程目标的实现,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。

二、教学内容本课程教学内容围绕以下三个方面进行组织:1. 理论知识:- 介绍频率、周期、频率计的基本概念和原理。

- 分析简易频率计的电路组成和功能,包括时钟电路、计数器、显示电路等。

2. 实践操作:- 指导学生使用面包板搭建简易频率计电路。

- 教学内容包括电子元件的选择、电路连接、调试和测试等。

3. 教学案例与拓展:- 结合课本案例,分析实际应用中的频率计,如心跳频率计、转速表等。

- 探讨频率计在生活中的应用,激发学生对电子技术的兴趣。

教学大纲安排如下:1. 第一课时:导入课程,介绍频率计的基本概念和原理,分析电路组成。

2. 第二课时:讲解实践操作步骤,指导学生进行电路搭建和调试。

3. 第三课时:展示和讨论教学案例,进行课程总结和拓展。

简易数字频率计课程设计报告

简易数字频率计课程设计报告

简易数字频率计课程设计报告《简易数字频率计课程设计报告》一、设计目的和背景随着科技的不断发展和普及,计算机已经成为人们生活中不可或缺的一部分。

而数字频率计作为一种常见的电子测量仪器,在工业控制、电信通讯等领域有着广泛的应用。

本课程设计旨在通过设计一款简易的数字频率计,以帮助学生深入了解数字频率计的工作原理和设计方法。

二、设计内容和步骤1. 学习数字频率计的基本原理和工作方式:介绍数字频率计的基本功能、硬件组成和工作原理。

2. 设计数字频率计的主要电路:通过研究数字频率计的电路原理图,设计出适用于本设计要求的主要电路。

3. 制作数字频率计的原型:使用电子元器件将电路图中设计的电路进行实际制作,制作出数字频率计的原型。

4. 测试数字频率计的性能:通过对数字频率计进行各种频率波形的测试,验证其测量准确性和稳定性。

5. 优化和改进设计:根据测试结果和用户反馈,对数字频率计的电路和功能进行进一步优化和改进。

三、预期效果和评价标准通过本课程设计,预期学生能够掌握数字频率计的基本工作原理、主要电路设计和制作方法,并且能够针对实际需求进行优化和改进。

评价标准主要包括学生对数字频率计原理的理解程度、电路设计的准确性和创新性,以及对数字频率计性能进行测试和改进的能力。

四、开展方式和时间安排本课程设计可以结合理论学习和实践操作进行,建议分为以下几个阶段进行:1. 第一阶段(1周):学习数字频率计的基本原理和工作方式。

2. 第二阶段(1周):设计数字频率计的主要电路。

3. 第三阶段(2周):制作数字频率计的原型,并进行性能测试。

4. 第四阶段(1周):优化和改进数字频率计的设计。

总共需要约5周的时间来完成整个课程设计。

五、所需资源和设备1. 教材教辅资料:提供数字频率计的基本原理和电路设计方法的教材或教辅资料。

2. 实验设备和工具:数字频率计的主要电路所需的电子元器件、测试仪器和焊接工具等。

3. 实验环境:提供安全、稳定的实验室环境,以及必要的计算机软件支持。

简易数字频率计课程设计报告 .

简易数字频率计课程设计报告  .

目录第一章概述1.1 数字频率计功能及特点1.2 数字频率计应用意义第二章设计方案2.1 设计指标与要求2.2 设计原理2.3方案论证第三章数字频率计分析及参数设计3.1 电路基本原理3.2 时基电路设计3.3闸门电路设计3.4控制电路设计3.5 小数点显示电路设计3.6 整体电路图第四章设计总结4.1 整体电路图4.2 元器件列表4.3 设计心得与体会4.4 附录4.5 参考文献第一章、概述数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。

它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。

经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。

因此数字频率计在测量其他物理量如转速、振动频率等方面获得广泛应用。

1.1 整体功能及特点1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。

2,测量信号复制范围0.5-5v3,显示方式:四维十进制LED显示4,测量范围:1HZ-10HZ5,测量误差:≤±0.1%6,自动检测切换量程1.2 数字频率计应用意义数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。

数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。

数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。

一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。

数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个领域。

第二章设计方案2.1 设计指标与要求2.1.1 设计指标1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。

数电课程设计数字式频率计

数电课程设计数字式频率计

前言摘要:频率计是电子技术中最基本的参数之一,并且与许多电参量的测量方案,测量结果都有十分重要的关系。

因此频率的测量就显得更为重要。

频率的测量方法有很多种,其中数字计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量自动化等优点,是测量频率的重要手段之一,数字式频率计是一种数字显示的测量频率的仪器,可以测量多种不同波形的频率,辅以其他传感器元件也可以实现其他物理量变化频率的测量,它是一种测量范围较广的通用型数字仪器。

本设计为一简单的数字频率计。

关键字:数字频率计;逻辑控制;数字式设计要求: 1.基本要求1.被测信号为TTL 脉冲信号。

2.显示的频率范围为00~99Hz 。

3.测量精度为1Hz 。

4.用LED 数码管显示频率数值。

2.扩展部分要求输入信号为正弦信号或三角波信号,幅值为5V 。

一、 基本原理频率——单位时间(1s)内信号振动的次数 频率计——测试周期信号的频率。

从测量过程看,被测信号送入通道后,经整形每个周期形成一个脉冲,这些脉冲信号加到闸门的输入端,1s 的时基信号也加到闸门输入端,当时基在高电平时,闸门导通,被测信号进入计数器计数,当时基跳到低电平计数结束,需要单稳态电路提供一个清零信号以及锁存器的锁存信号,计数锁存并通过数码管显示出来。

如果闸门开启时间为Ts,计数器积累得数字为N ,被测频率为:ss T Nf设计框图如下:二、系统组成2.1 时基电路本部分电路由555芯片构成多谐振荡器作为时基电路,作用是提供用于测量单位时间1s ,即闸门信号的开启时间,同时也产生一个下降沿信号激发单稳态触发器产生一个锁存器锁存信号,再由这个信号激发计数器的计数清零信号。

电路组成及工作原理如下:图1 用555构成的多谐振荡器振荡频率的估算 (1)电容充电时间T 1。

电容充电时,时间常数τ1=(R 1+R 2)C ,起始值v C (0+)=cc V 31,终了值v C (∞)=V CC ,转换值v C (T 1)=cc V 32,带入RC 过渡过程计算公式进行计算: C R R V V V V T v v v v T CCCCCC CC C C C C )(7.02ln 3231ln)()()0()(ln2111111+==--=-∞-∞=+τττ (2)电容放电时间T 2电容放电时,时间常数τ2=R 2C ,起始值v C (0+)=cc V 32,终了值v C (∞)=0,转换值v C (T 2)=cc V 31,带入RC 过渡过程计算公式进行计算:C R T 227.0=O(a)(b)C21C3V V C C v C C3tv OtOOt t t C CV 012T T T120(3)电路振荡周期TT =T 1+T 2=0.7(R 1+2R 2)C(4)电路振荡频率f CR R T f )2(43.1121+≈= (5)输出波形占空比q定义:q =T 1/T ,即脉冲宽度与脉冲周期之比,称为占空比。

数字电子技术:简易数字频率计课程设计

数字电子技术:简易数字频率计课程设计

数字电子技术课程设计题目:简易数字频率计学校:班级:姓名:学号:指导老师:目录1原理分析 (3)1.1晶振电路 (3)1.2分频电路 (3)1.3放大整形电路 (3)1.4控制电路...................................................................... 错误!未定义书签。

1.5 主控门 (3)1.6 计数及显示部分 (4)2方案论证 (4)2.1晶振电路 (4)2.2分频电路 (4)2.3放大整形电路 (4)2.4控制电路 (4)2.5 主控门 (4)2.6 计数及显示部分 (4)3电路的具体实现 (4)3.1晶振电路 (4)3.2分频电路 (5)3.3放大整形电路 (5)3.4控制电路 (5)3.5 主控门 (6)3.6 计数及显示部分 (6)4系统测试与分析 ................................................................. 错误!未定义书签。

4.1测试环境...................................................................... 错误!未定义书签。

4.2测试仪器 (9)4.3测试方法 (9)4.4测试数据 (9)4.5系统分析 (11)5总结 (11)参考文献 (11)附录 (11)简易数字频率计数器摘要:数字频率计是用于测量信号(方波、正弦波或其它脉冲信号)频率的仪器,并用十进制数字显示,它具有精度高,测量迅速,读数方便等优点。

关键词:频率测量、数字Abstract:Digital frequency meter is used to measure the signal (square wave, sine wave or other pulse signal) frequency of the instrument, and use the decimal figures, it has high precision measurement quickly, the advantages of easy reading.Keywords:Frequency Measurement、Digital1 、原理分析:脉冲信号的频率就是在单位时间内所产生的脉冲的个数,其表式为F=N/T,其中,F为被测信号的频率,N为计数器所累计的脉冲的个数,T为产生N 个脉冲所需要的时间。

简易数字频率计课程设计

简易数字频率计课程设计

《电子技术》课程设计报告报告题目:作者所在系部:作者所在专业:作者所在班级:作者姓名:作者学号:指导教师姓名:完成时间:内容摘要数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其功能是测量正弦信号,方波信号,尖脉冲信号以及其他各种单位时间内变化的物理量,因此已经成为电路设计的常用原器件之一,有它不可取代的地位。

本课题要设计的是简易数字频率计,使其频率值以十进制的数在数码管上显示出来。

从而可以直接的看出频率值,相对比较直观,而且误差相对较小(误差约为1%)。

设计的数字频率计的测量范围是1HZ~9999HZ,显示的数值N是0001~9999。

该数字频率计将在频率测量方面显示出它独特的优越性。

关键字:整形锁存清零数显频率一、概述通过信号整形电路使被测频率Fx产生一个CP脉冲(闸门信号),通过时基电路产生高电平时间长度为1s低电平时间长度为0.25s方波信号。

通过计数器来测CP脉冲在一秒钟内的个数。

通过74LS273锁存其数据,通过译码器翻译,使七段数码管显示其数值N。

用四只LED数码管构成数字显示器。

数码管用来显示四位,均用十进制数表示,即数字显示器可显示出的最大数字和最小数字分别为9999和0。

响应时间T x不超过12s,即接上F X后,在12s之内,显示器所显示数字N,Fx的测量范围为0hz~9999hz。

二、方案设计与论证频率计是直接用十进制来显示被测信号频率的一种测量装置。

它可以测量正弦波、方波、三角波的频率。

利用施密特触发器将输入信号整形为方波,并利用计数器测量1s内脉冲的个数,利用锁存器锁存,稳定显示在数码管上。

常用的频率测量方法有以下四种。

1.测频法测频法的基本思想是:对频率为f的周期信号,用一个标准闸门信号(闸门宽度为Tg)对被测信号的重复周期数进行计数,当计数结果为N时,其信号频率为f=N/T G测频法的测量误差与信号频率有关:信号频率越高,误差越小;而信号频率越低,则测量误差越大。

课程设计 频率计的设计

课程设计 频率计的设计

学生姓名(学号) )课程名称数字电子技术设计题目数字频率计设计完成期限自 2009 年 6 月 24至 2009 年 6月 30 共 1 周设计依据已学过电路分析、模拟电子技术、数字电子技术,按照教学计划要求进行《数字电子技术课程设计》。

设计要求及主要内容1、设计一个3位十进制频率计,其测量范围为1MHz。

量程分为10KHz、100KHz、1MHz三档(最大读数分别为9.99KHz、99.9KHz、999KHz),量程转换规则如下:(1)当读数大于999时,频率计处于超量程状态,此时显示器发出溢出指示。

下一次测量时,量程自动增大一档。

(2)当读数小于099时,频率计处于欠量程状态,下一次测量时,量程自动减小一档。

2、显示方式如下:(1) 采用记忆显示方式。

即计数过程中不显示数据,等到计数过程结束以后,显示计数结果,并将此计数结果保持到下一次计数结束。

显示时间不小于1s。

(2) 小数点位置随量程变换自动移位。

3、对电路进行仿真。

参考资料[1]MAXPLUSII 及 VHDL 使用教程.东南大学[2]康华光.电子技术基础数字部分.高等教育出版社.指导教师签字日期目录一、设计任务 (4)二、设计条件 (4)三、设计要求 (4)四、总体概要设计 (4)五、各单元模块设计和分析 (5)六、元器件清单 (7)七、设计总结 (7)八、参考文献 (7)九、附数字钟课程设计仿真图 (8)十、实验心得 (9)引言:数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。

如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。

因此,数字频率计是一种应用很广泛的仪器。

一、设计任务:频率计设计二、设计条件:本设计基于Multisim仿真软件的调试三、设计要求:1、设计一个3位十进制频率计,其测量范围为1MHz。

量程分为10KHz、100KHz、1MHz三档(最大读数分别为9.99KHz、99.9KHz、999KHz),量程转换规则如下:(1)当读数大于999时,频率计处于超量程状态,此时显示器发出溢出指示。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

简易频率计设计1、设计目的综合运用数字电子技术相关知识设计具有指定用途的数字电路,学会由分立器件与集成电路组成电子电路的方法。

2、设计任务设计一简易频率计,要求如下:(1)频率测量范围:0—99Hz(2)输入电压幅度:300mv~5v(3)输入信号波形:方波、正弦波、三角波等周期信号(4)显示位数:2位3、设计要求(1)合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图;(2)选择常用的电器元件(说明电器元件选择的过程和依据);(3)对设计的电路进行仿真,验证各性能指标;(4)按照规范要求,按时提交课程设计报告,并完成答辩。

4、参考资料(l)李立主编. 电工学实验指导. 北京:高等教育出版社,2005(2)高吉祥主编. 电子技术基础实验与课程设计. 北京:电子工业出版社,2004(3)谢云等编著. 现代电子技术实践课程指导. 北京:机械工业出版社,2003目录一、设计方案的选择(原理) (3)二、电路设计计算与分析 (4)1.单元模块的设计 (4)(1)整形电路 (4)(2)时基电路 (6)(3)计数电路 (8)(4)锁存电路 (9)(5)译码显示电路 (9)2.电路中集成器件 (10)(1)555定时器 (11)(2)74HC160 (12)(3)74HC373 (13)(4)74LS48 (13)3.电路参数分析 (15)三、总结及心得 (16)四、附录: (17)五、参考文献 (19)一、设计方案的选择(原理)运用555定时器构成的多谐振荡器电路,使其产生时钟脉冲,即为有一定频率或周期的方波信号,再使用一个555定时器构成的施密特电路对待测波形进行调整,无论待测信号为方波、三角波还是正弦波都可以调成同一周期的方波信号,然后用一个与门将两个555产生的不同方波连接起来再与两个计数器连接,目的是为了当计数器在多谐震荡器输出一秒的高电平的情况下使计数器正确计数一秒内待测信号的高电平出现数目。

计数器的输出连接一个锁存器,能将所需数字即待测信号的频率正确锁定,最后是译码器和七段显示器,显示出正确的频率。

如果一次循环结束,将电源断开即计数结束。

方案的原理如图1.1所示:图 1.1 设计方案的方框图二、电路设计计算与分析1.单元模块的设计(1)整形电路此电路是主要是为了便于计数电路的计数,将方波、正弦波、三角波等周期信号都转化为相同频率的方波。

通过555定时器构成的施密特触发器完成对被测信号波形进行处理的功能。

设计整形电路如图 2.11(1)所示:图2.11 555定时器构成的施密特触发器在施密特触发器对待测信号进行处理同时,当输入的信号到达触发器中时,输出的初始状态为低电平,当输入的信号接近CCV时,输出状态由低电平翻转为高电平,当输入信号继续增加到CCV时,输出状态在再由高电平翻转为低电平,经过多次循环,形成了相同周期的方波信号。

因此,对该方波信号的频率进行测量就是对待测信号频率的测量。

将待测的信号输入到计数电路之前,需要对时基电路和待测信号相与之后的信号进行处理。

当时基电路为低电平时,计数器电路不计数,当时基电路为高电平时,计数器电路计数,则需要在计数器的时钟输入之前将时基信号和待测信号相与,则就满足相应的逻辑功能。

待测信号仿真波形如图:图2.11(2) 待测信号波形(正弦波)整形后的波形如图:图2.11(3) 经整形的波形(2)时基电路此电路是产生一秒的时钟脉冲宽度,为整个电路提供时间脉冲,同时并控制整个电路的时间信号。

本次设计采用555定时器构成的多谐震荡器来作为电路的时基电路。

555定时器主要是与电阻、电容构成充放电电路,并由两个比较器来检测电容器上的电压,以确定输出电平的高低和放电开关管的通断。

这就很方便地构成从微秒到数十分钟的延时电路。

下图2.12(1)为时基电路图:434 1k图2.12 (1) 时基电路时基电路输出信号波形如图所示:图2.12(2) 时基电路输出波形(3)计数电路由于设计要求显示出0—99Hz 的周期信号的频率,所以计数电路必须是100进制的加法计数电路。

选用两片74HC160的级联来满足该设计要求。

将555多谐振荡电路和555施密特触发器相与产生的的方波脉冲信号送入74HC160的CLK 端(计数信号输入端),这样74HC160的进位信号输出端RCO 将原来的信号变成进位信号输出。

当一秒的时钟脉冲结束后,计数器也停止计数。

计数电路如图2.13所示:接译码电路的个位输入接译码电路的十位输入接使能控制电路接计数信号图2.13计数电路本部分电路中用到了74HC160集成芯片,这种芯片是由CMOS 构成,能够高速实现相应的逻辑功能。

74HC160是十进制加法计数器,当在低位芯片计数到9时,将会产生进位信号,此时低位RCO 端的输出为高电平。

将低位RCO 端与高位片的使能端(ET 、EP )相连,这样就可以在低位片由低位片RCO 端输出的上升沿时开始计数。

由于输入的是同步时钟信号,在低位片的RCO 端再次出现上升(即低位片再次出现进位信号)前,高位片不会计数。

再将各位输出的4位二进制代码输入译码电路中进行译码,最后在由数码管显示。

(4)锁存电路本部分电路是由74HC373集成芯片组成,能够有效的实现它的逻辑功能。

在一秒时钟脉冲结束后,计数电路正常计数,译码显示出相应的频率数据,74HC373能够锁存这个数据,方便实际使用,而且在锁存过程中,不能中途对其锁存。

设计的锁存电路图如图2.14所示:U174HC373N_6V1D 32D 43D 74D 85D 136D 147D 178D 18~OC 1ENG111Q 22Q 53Q 64Q 95Q 126Q 157Q 168Q19计数电路输出译码器输入接高电平接D 触发器电路的输出图2.14锁存电路(5)译码显示电路本部分电路中7448是将输入的4为二进制代码译为数码管所需要的电平信号,这种译码器有4个输入端,10个输出端,并且使低电平有效。

设计的电路如图2.15(1)所示。

图2.15(1)译码显示电路数码管输出数据如图所示:图2.15(2)数码管输出数据2.电路中集成器件(1)555定时器555定时器是一种运用极为广泛的中规模集成电路。

该电路使用灵活、方便,只需外接少量的阻容元件就可以构成多谐振荡器,单稳态触发器,施密特触发器等电路,其中多谐振荡器和单稳态触发器能够产生一定周期的脉冲波形,而施密特触发器则可以将不是方波信号转变为方波信号。

因而广泛使用于信号的产生、变换、控制和检测。

图2.21 555定时器的组成图和引脚图555定时器作为一种常用器件,在此对其管脚做简单的介绍。

1端和8端分别接直流电源VCC和地,2、6端为触发端,2端为低电平触发,6端为高电平触发,5端为外加电源控制端,7端为放电端,通常接电容,3端为输出端。

它的主要功能取决于比较器,比较器的输出控制RS触发器和放电BJT中T的状态。

R为复位输入端,当R为低电平时,不管其他输入端状态如何,输出O V为低电平。

因此正常工作时,应将其接高电平。

(2)74HC16074HC160功能表如下:表2.22 计数器74HC160功能表图2.22 74HC160引脚图(3)74HC373在设计过程中,当一秒脉冲时钟结束后,使用一个74HC373集成块对待测信号频率进行锁存。

图2.23为74HC373集成块的引脚图:图2.23 74HC373引脚图由引脚图可以看出,D1~ D8为数据输入端,Q1~Q8为数据输出端,LE为接电源Vcc。

(4)74LS4874LS48集成块常用译码器,它常用与计算机中对存储器单元地址的译码,即将每一个地址转换成一个有效信号,从而选中对应的单元。

图2.24为74LS48的引脚图:图2.24 74LS48的引脚图7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。

该集成显示译码器设有多个辅助控制端,以增强的功能。

它有3个辅助控制端LT、RBI、BI/RBI,分别简要说明一下:○1.灭灯输入BI/RBOBI/RBI是特殊的控制端,有时作为输入,有时作为输出。

当BI/RBI 作为输入使用且BI/RBI为逻辑0时,无论其他输入端是什么电平,所有各段输出a-g均为0,所以字形熄灭。

○2.测试输入LT当LT为逻辑0时,BI/RBO是输出端,且RBO为逻辑1,此时不管其他输入端是什么状态,所有各端输出a-g均为1,显示字形8。

该输入端常用检查7448本身及显示器的好坏。

○3.动态灭零输入RBI当LT为逻辑1,RBI为逻辑0且输入代码DCBA=0000时,各段输出a-g均为低电平,与BCD码相应的字形0熄灭。

利用LT为逻辑1与RBI为逻辑0可以实现某一位0的“消隐”。

此时BI/RBO 是输出端,且RBO 为逻辑0。

○4.动态灭零输入 NEI BI/RBO 作为输出使用是4,受控与LT 和RBI 。

当LT 为逻辑1且RBI 为逻辑0,输入代码DCBA =0000时,RBO 为逻辑0;若LT 为逻辑0或者LT 为逻辑1且RBI 为逻辑1,则RBO 为逻辑1。

该端主要用于显示多位数字时,多个译码器之间的连接。

3.电路参数分析在时基电路中,我们用到的是由555定时器构成的多谐振荡器完成的。

在这样的电路中我们需要计算R 1,R 2,C 1,C 2对应的值。

我们需要1秒钟的时钟脉冲宽度,所以t PH =1s ,由公式:121121)(7.02ln )(C R R C R R t PH +≈+=式中,电阻21,R R 单位为Ω,电容1C 单位F 。

令1C 为1mF ,由公式得:143421≈+R R Ω,则有:Ω=Ω=434,121R K R 。

因为电容2C 为保护电容,则F C μ01.02=。

在信号处理电路中,由于1R 为保护电阻,避免Vcc 经过电阻回路到达7端的电流过大,烧坏器件,所以令Ω=K R 11。

在计数电路中,我们选用的是十进制加法计数器74HC160D ,通过两片74HC160D 的级联来实现了100进制计数器的功能。

三、总结及心得这次的设计对我来说是一个难忘的回忆,从开始接到这个题目时,看起来很简单,但是在设计过程中却遇到了很多的困难。

作为一名大学生,而且是学电子信息的大学生,设计是我们得基本能力,是考察我们学习水平最简单的测验。

这次的设计中,我从一片茫然中慢慢搜索中整理头绪,在图书馆中查阅了大量的资料,同时在网上也寻找了相当资料,最后在仿真软件中进行仿真,在数十次失败后得到了上面的成果。

虽然这最终的成果也不是很完美,存在着一些瑕疵,但是通过这次课程设计我对于数字电子器件的应用更加熟练,也对数字电子计数有了更多的认识。

所以,这次课程设计是一次特殊的经历。

通过这次的设计,使我的逻辑思维得到了锻炼。

相关文档
最新文档