交通灯控制逻辑电路设计实验报告
交通灯控制电路的设计(实验报告)
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
交通灯设计实验报告
d)可以手动调整和自动控制,夜间为黄灯闪耀。
四、
(一)设计思路
i.总体设计-------输入部分:
图3
B.控制器电路
本实验选用8位寄存器74LS164组成扭环行十二进制计数器。扭环型十二进制计数器的模块电路图4示:
表5扭环形十二进制计数器的状态表
t
计数器输出
南北方向
东西方向
Q0
Q1
Q2
Q3
Q4
Q5
NSG
NSY
NSR
EWG
EWY
EWR
0
0
0
0
0
0
0
1
0
0
0
0
1
1
1
0
0
0
0
0
1
0
0
0
0
1
2
1
1
0
0
0
1)用555定时器构成脉冲1Hz的基准信号,在进过74ls163构成4进置的计数器,则输入部分最终输入的信号为4s的基准信号。
ii.总体设计-------控制部分:
2)利用8位移位寄存器74LS164作为中心控制芯片,进过一些逻辑门组成控制南北方向,和东西方向交通灯的亮灭。
iii.总体设计-------输出部分:
安装调试----------------------------------------------------------第七页
元器件及清单----------------------------------------------------第九页
数字逻辑课程设计报告--交通灯控制器
数字逻辑课程设计报告——交通灯控制器学院名称:学生姓名:专业名称:班级:实习时间:2013年6月3日—— 2013年6月14日一、实验目的:1.掌握时序逻辑电路的设计方法,灵活运用理论知识。
2.提高自己的数字系统设计能力和实际动手能力。
3.了解如何将数字电路设计应用到自动控制系统中,从而提高解决实际问题的能力。
二、实验任务与要求1.红绿灯交通信号系统外观示意图2.总体任务及要求⑴ 在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯、黄灯、红灯;另一个方向是红灯、绿灯、黄灯。
⑵ 设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上红灯亮的时间是30s ,另一个方向上绿灯亮的时间是20s ,黄灯亮的时间都是5s 。
⑶ 用两组数码管,实现双向倒计时显示。
3.总时序工作流程图三、总体方案的设计1、主控电路在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来,可以通过两位二进制数表示所需状态(00—Gr, 01—Yr, 11—Rg, 10—Ry),循环状态:(00—01—11—10—00)。
可以设计一个模为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路,所以可以利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。
主控电路2、脉冲输出部分脉冲输出部分为555时基芯片构成的多次谐波震荡器,电路原理图如右:其中器件参数分别为R1=4.7kΩ,R2=150kΩ,C1=4.7μF,C2=0.01μF。
产生的时钟脉冲为周期T=1s的方波。
电容C1充电时,暂稳态持续时间为tw1=0.7(R1+R2)C=0.7×(150k+4.7k) ×4.7μ≈0.5s电容C1放电时,暂稳态持续时间为tw2=0.7R2C=0.7×150k×4.7μ≈0.5s因此,电路输出矩形脉冲的周期为T= tw1+tw2≈1s输出占空比为q= tw1/T≈50%脉冲输出3、红绿灯显示电路红绿灯显示是表示电路所处状态,受到主控电路控制,即主控电路的输出(A和B)决定了主干道和支干道的红绿灯的情况。
数字电路课程设计——交通灯实验报告
一、课程设计题目交通灯控制系统设计二、设计的任务和要求1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。
要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求;2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。
红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒。
三、系统总体设计方案及系统框图方案一:芯片设计(1)芯片功能及分配交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。
2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。
3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。
整个设计共由以上三部分组成。
(2)设计原理:1)总体方案如图:2)各单元电路的设计:1. 秒脉冲信号发生器时钟信号产生电路主要由555定时器组成震荡器,产生稳定的脉冲信号,送到状态产生电路,状态产生电路根据需要产生秒脉冲,电路图如下图所示:2.主控制电路D1=Q1/Q2+/Q1Q2(/表示取非) D2=/Q2 CLK=CO2 CLR和PR均置1.主控制电路可产生00---->01---->10---->11----00控制信号。
3.红绿灯显示电路电路图如图:4. 计时部分电路A ) 计时器状态产生模块:设计要求对不同的状态维持的时间不同,限于实验室器材只提供74LS161.因要以十进制输出,且有一些状态维持时间超过10秒,则必须用两个74LS161分别产生个位和十位的数字信号。
实验五交通灯控制
操作规则实现电路
功能:根据交通红绿灯控制器的功能要求,确定不同工作状态下计时器的计数值。可用8位计数器来实现定时计数。
正常运行时,计数器按照规定的定时要求加1计数;若要人工放行某方向,只要使计时器运行到该放行状态的最后一刻时,计时器保持此时的计数值,使红绿灯信号生成器暂停状态的转移即可。
*
再按下键2,表示欲人工放行B方向,则相应LED有显示;同时两个方向的红绿灯按正常运行规律自动切换,当运行到放行B方向时,则保持放行该方向。
再按下键3,表示清除人工方向的控制,则交通灯开始自动转换红黄绿灯的状态。
3
2
1
4
*
1
2
3
4
5
6
预习时请画出其状态转移图。
*
设计方案的选择
01
图文混合设计方法:先将电路划分为几个子模块,每个子模块由Verilog HDL语言描述实现,然后生成逻辑符号,顶层文件采用图形文件来实现。
02
纯文本描述方法:每个子模块和顶层电路的连接关系都采用Verilog HDL语言描述实现,对子模块的调用采用模块元件例化的方法。
起始状态的选择
采用log2N个触发器来表示这N个状态 采用N个触发器来表示这N个状态——称为一位热码状态机编码(One-Hot State Machine Encoding)。
状态编码
采用Verilog HDL语言实现基于状态机的设计,就是在时钟信号的触发下,完成两项任务: 用case或if-else语句描述出状态的转移; 描述状态机的输出信号。
在线校验
下载后,仔细观察:红绿灯应按设定的时间规律自动切换,D1~D8八个LED分别对应的是:A方向的红黄绿,B方向的红黄绿,A方向的放行状态,B方向的放行状态。
数字逻辑实验报告 交通灯
计算机科学与工程学院综合设计报告设计名称:数字逻辑综合设计设计题目:可人工干预的交通灯控制器学生学号:专业班级:学生姓名:学生成绩:指导教师(职称):课题工作时间:2010/12/27 至2011/01/07成绩评定表学生姓名:学号:班级:答辩记录表指导教师评语目录摘要 (I)Abstract (II)第一章概述............................................................. .. (1)1.1 背景 (1)1.2 目的 (1)1.3 意义 (1)1.4 原理……………………………………………………..…………………………… ..1第二章设计简介及设计方案论述 (3)2.1 设计流程图 (3)2.2 方案论述 (3)第三章详细设计 (5)3.1 555计时器构成的多谐振荡器 (5)3.2 60进制计数器 (6)3.3 60进制倒计时计数器 (8)3.4 人工干预 (9)3.5 红黄绿灯控制电路 (10)3.6 设计总电路及元件清单 (11)第四章设计结果及分析 (13)4.1 设计结果 (13)4.2 过程分析 (13)总结 (14)致谢 (15)参考文献 (16)摘要随着社会经济的发展和机动车辆的不断增加,城市交通问题越来越引起人们的关注。
交通对我们生活的影响更加明朗,已成为交通管理部门需要解决的重要问题之一。
很多因素都会影响在道路中的行车状况,所以一个功能健全的交通控制器尤为重要。
城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。
如何利用所学知识设计出来一个最简单合适的可人工干预的交通灯电路是此次设计主要解决的问题。
因此,这次设计我设计了一个简单的交通灯控制系统。
交通灯的控制系统主要由计时电路、主控电路、多谐振荡器等组成。
关键词:计时电路;主控电路;多谐振荡器AbstractAs social and economic development and increasing motor vehicles, urban transportation problem gets more attention. The impact of traffic on our lives even clearer, traffic management has become an important problem to be solved. Many factors affect the driving conditions on roads, so a functioning traffic signal controller is particularly important. Urban traffic control system is used for urban traffic data monitoring traffic signal control and traffic control of computer integrated management system, which is a modern urban traffic control command system of the most important part. How to use the knowledge from one of the most simple design appropriate human intervention can be a traffic light circuit is mainly designed to solve the problem. Therefore, the design, I designed a simple traffic light control system. Traffic lights control system mainly by the timing circuit ,control circuit and so on.Keyword:Timing circuit; control circuit; multi-vibrator第一章概述1.1 背景交通灯是我们最常见的系统,为了保证交通秩序和行人安全,在街道的十字路口处每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。
交通灯控制逻辑电路实验报告
交通灯控制逻辑电路实验报告福州大学阳光学院题目:交通灯逻辑控制电路设计系别:电子信息工程系班级:2011级通信(二)班学号:241199196姓名:蒋联水指导老师:杨XX目录1、设计的目的及任务 (1)1.1 设计的目的 (1)1.2 设计的任务和要求 (1)1.3 扩展的要求 (2)2、电路设计总方案及原理框图 (2)2.1 电路设计总方案 (2)2.2 原理框图 (3)2.3 内容摘要 (3)3、各单元电路的工作原理 (3)3.1 秒脉冲产生电路 (3)3.2 倒计时计数器以及显示电路的设计 (6) 3.2.1 倒计时电路 (6)3.2.2 显示电路 (7)3.3信号灯的转换方法 (8)3.3.1 四分频电路 (8)3.3.2 信号灯的转换电路 (9)3.4倒计时计数器与信号灯转换器的连接 (10) 3.5白天夜间模式切换的设计 (10)3.6模拟汽车行驶电路设计 (11)4、总设计电路图 (11)5、电路的安装及调试 (12)6、实验结果检验 (12)7、总结及心得体会 (13)参考文献 (14)附录1 器件明细表 (14)1、设计的目的及任务1.1设计的目的这次的数字电路课程设计主要综合了解与运用所学的知识,通过这次课程设计来检查2012—2013上半期的学习状况。
通过制作来了解交通灯控制系统,了解555多谐振荡器、D触发器、移位寄存器、加减法计数器、译码器、数码管以及各种门电路芯片的作用等。
交通灯控制系统主要是实现城市交叉路口红绿灯的控制。
在现代化的大城市中,十字交叉路口越来越多,在每一个交叉路口都需要有一个准确的时间间隔和转换顺序,这就需要一个安全、自动的系统对红、黄、绿的转化进行管理。
本次的设计就是基于此目的进行的。
1.2设计的任务和要求(1)、满足图1-1顺序工作流程。
图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。
它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。
智能交通灯模拟控制电路实验报告
智能交通灯模拟控制电路实验报告(指导老师:李赣平)一.设计任务利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
用红、绿、黄发光二极管作信号灯。
二.设计方案2.1任务分析模拟交通灯控制器就是使用单片机来控制一些LED和数码管,模拟真实交通灯的功能。
2.2 方案设计单片机的I/O 接口直接和交通灯(LED)连接。
在十字路口的四组红、黄、绿三色交通灯中,东西方向道路上的两组同色灯连接在一起,南北方向道路上的两组同色灯连接在一起,受单片机P0.0~P2.3 口控制。
12 个发光二极管采用了共阳极的连接方式,因此I/O 口输出低电平时,与之相连的LED 会亮,I/O 口输出高电平时,与之相连的LED 会灭。
软件方案根据设计要求,软件可由汇编语言完成,也可由C语言完成。
程序流程图如下程序流程图智能交通灯模拟控制电路实验报告(1)单片机的构造89SC51 的基本结构89SC51 单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等单元及数据总线、地址总线和控制总线等三大总线,现在分别加以说明。
中央处理器:中央处理器是8 位CPU,含布尔处理器;时钟电路;总线控制逻辑。
中央处理器(CPU)是整个单片机的核心部件,是8 位数据宽度的处理器,能处理8 位二进制数据或代码,CPU 负责控制、指挥和调度整个单元系统的工作,完成运算和控制输入输出等操控。
数据存储器(RAM):数据存储器(RAM)有128KB 数据存储器(RAM,可再扩64KB)和特殊功能寄存器SFR。
89C51内部有128个8 位用户数据存储单元和128个寄存器单元,他们是统一编址的,专用寄存器只能用于存放控制指令数据,用户只能访问,而不能用于存放用户数据,所以,用户能使用的RAM 只有128个,可存放读写的数据,运算的中间结果或用户自定义的字型表。
数电实验(七) 时序逻辑电路的应用 设计一个交通灯控制电路
苏州科技学院实验报告
课程名称:数字电子技术
实验项目名称:时序逻辑电路的应用学生姓名:
专业班级:
学号:
实验日期:
实验七设计一个交通灯控制电路
一设计目的
(1)熟悉集成电路的引脚安排
(2)掌握各芯片的逻辑功能及使用方法
(3)了解面包板结构及其接线方法
(4)了解数字交通灯控制电路的组成及工作原理
(5)学会用仿真软件对设计的原理图进行仿真
(6)熟悉数字交通灯控制电路的设计与制作
二设计思路
(1)设计秒脉冲发生器
(2)设计交通灯定时电路
(3)设计交通灯控制电路
(4)设计交通灯译码电路
(5)设计交通灯显示时间电路
三设计电路图真值表
交通灯控制电路逻辑真值表
四实验心得体会
这次实验,我不仅初步学会了仿真软件的使用方法,同时也更加的了解了数字交通灯控制电路的组成及工作原理,并且知道了如何设计与制作数字交通灯控制电路。
当然在实验中也遇到了一些问题,比如连线没连好,导致控制电路无法运行。
经过努力,设计的交通灯控制电路正确的运行。
此次实验进一步锻炼了我的动手能力,同时也加强了我思考问题的能力。
交通灯控制器设计实验报告
交通灯控制器设计实验报告设计性实验项目名称交通灯控制器设计实验项目学时:3学时实验要求:■必修□选修一、实验目的1、学习与日常生活相关且较复杂数字系统设计;2、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法;3、学习二进制码到BCD码的转换;4、学习有限状态机的设计应用。
二、实验原理交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。
要完成本实验,首先必须了解交通路灯的燃灭规律。
本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。
依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。
其交通灯的燃灭规律为:初始态是两个路口的红灯全亮之后,主干道的绿灯亮,乡间道路的红灯亮,主干道方向通车,延时一段时间后,乡间公路来车,主干道绿灯灭,黄灯开始闪烁。
闪烁若干次后,主干道红灯亮,而同时乡间公路的绿灯亮,延时一段时间后,乡间公路的绿灯灭,黄灯开始闪烁。
闪烁若干次后,再切换到主干道方向,重复上述过程。
三、设计要求完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:1、有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;2、交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;3、乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信号S=1,其余时间S=0;4、平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干道通行大于一分钟后才能转换;5、一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进入MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟;6、控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计时数码管显示。
交通灯设计实验报告
《电子技术实践及仿真》孙丽霞主编
《数字电子计数基础》周良权主编
0
1
0
0
0
0
1
3
1
1
1
0
0
0
1
0
0
0
0
1
4
1
1
1
1
0
0
1
0
0
0
0
1
5
1
1
1
1
1
0
0
1
0
0
0
1
6
1
1
1
1
1
1
0
0
1
1
0
0
7
0
1
1
1
1
1
0
0
1
1
0
0
8
0
0
1
1
1
1
0
0
1
1
0
0
9
0
0
0
1
1
1
0
0
1
1
0
0
10
0
0
0
0
1
1
0
0
1
1
0
0
根据状态表,不难列出东西方向和南北方向绿,黄,红灯的逻辑表达式:
东西方向绿灯:EWG=Q4Q5
图3
B.控制器电路
本实验选用8位寄存器74LS164组成扭环行十二进制计数器。扭环型十二进制计数器的模块电路图4示:
表5扭环形十二进制计数器的状态表
t
计数器输出
南北方向
东西方向
Q0
交通灯控制逻辑电路设计与总结报告
交通灯控制逻辑电路设计与总结报告一、设计任务用CPLD设计路口交通灯控制器二、设计要求1、满足一下时序要求:南北方向红灯亮,东西方向绿灯亮;南北方向绿灯亮,东西方向红灯亮;2、每一方向的红(绿)黄灯总共维持30秒;3、十字路口要有时间显示,具体为:当某一方向绿灯亮时,置显示器为30秒,然后以每秒减一技术方式工作,直至减到数为4秒时,红绿灯熄灭,黄灯开始间隙闪耀4秒,减到0时,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环;4、红绿灯均采用发光二极管;5、设计由晶振电路产生1Hz标准秒信号的单元电路 (实际秒脉冲由开发箱提供);6、要求对整体电路进行仿真,观察并记录下仿真波形;7、选作部分:a、手动调整和自动控制,夜间为黄灯闪耀;b、白天黄灯亮时,以2Hz的速度闪烁点亮四秒;c、红绿灯循环点亮时间可以自由修改。
三、设计设备含有Quartus软件的电脑一台,可下载的试验台;四、设计方法使用VHDL语言进行程序的设计运行和仿真,以及波形的运行仿真,最后进行下载仿真;五、方案论证方案1:把整个流程分成几个进程来做;方案2:每个进程都使用if和case语句实现功能的实现;方案3:使用状态机来实现状态间的转换;方案论证:1、要实现整个流程,需要做的输出内容类型不同,如果放在一个进程里面就比较容易混淆,产生混乱。
而采用分成几个进程来做的方式就明确了每个模块的内容和分工,使其调理清晰,一目了然;2、if语句是条件语句,是VHDL语言中常用的基本语句。
该流程中的变量比较繁多,而使用case语句分情况列出来,简洁明了。
3、状态机的使用格式简洁,使用简单方便,特别是在进行状态的转换时候。
并行的状态转换不易出错,可将状态转换、赋值、计数等多个功能封装在某一个状态中,并且更加便于为系统添加新的状态功能。
方案选定:通过以上分析,确定用以上方案为本次设计的方案。
六、工作原理先对所给时钟脉冲进行分频到标准时钟脉冲;设计两个时钟控制倒计时,倒计时的时间可自由修改,由输入决定;设计另一个时钟,用以控制白天与夜间模式的转换;在白天时,根据倒计时的数字进行红绿灯的状态转换;夜间时,红绿灯状态改为夜间模式:黄灯闪烁。
交通灯控制器设计实验报告
一、实习目的:1. 通过实习让学生掌握开关电源整机电路;2. 能够根据印制电路板画出整机电路图;3. 能够识别检测开关电源的元器件;4. 能够正确拆卸和焊接元器件;5. 会测试主要工作点的阻值、电压和波形;6. 能够根据故障现象判断故障部位;7. 能够进行实际故障维修。
二、实训器材:万用表、开关电源套件、电烙铁、焊锡、吸锡器。
三、实习原理与步骤:1.认识拆卸、检测元器件。
电阻:5.6Ω,270k,5.1k,270Ω,2.7k,10k,15k。
四个色环电阻的识别:第一、二环分别代表两位有效数的阻值;第三环代表倍率;第四环代表误差。
五个色环电阻的识别:第一、二、三环分别代表三位有效数的阻值;第四环代表倍率;第五环代表误差。
然后用万用表将两表笔(不分正负)分别与电阻的两端引脚相接测出实际电阻值进行比对。
为了提高测量精度,应根据被测电阻标称值的大小来选择量程。
电容:100uF/400WV,100uF/50,10uF/350,100uF/160,22uF/50V,57PF,47000PF。
用万用表进行测量,只能定性的检查其是否有漏电,内部短路或击穿现象。
测量时,可选用万用表R×10k挡,用两表笔分别任意接电容的两个引脚,阻值应为无穷大。
若测出阻值(指针向右摆动)为零,则说明电容漏电损坏或内部击穿。
二极管:1N4007,RG2,FR107。
测试前先把万用表的转换开关拨到欧姆档的RX1K档位(注意不要使用RX1档,以免电流过大烧坏二极管),再将红、黑两根表笔短路,进行欧姆调零。
①正向特性测试,把万用表的黑表笔(表内正极)搭触二极管的正极,红表笔(表内负极)搭触二极管的负极。
若表针不摆到0值而是停在标度盘的中间,这时的阻值就是二极管的正向电阻,一般正向电阻越小越好。
若正向电阻为0值,说明管芯短路损坏,若正向电阻接近无穷大值,说明管芯断路。
短路和断路的管子都不能使用。
②反向特性测试,把万且表的红表笔搭触二极管的正极,黑表笔搭触二极管的负极,若表针指在无穷大值或接近无穷大值,管子就是合格的。
VHDL交通灯控制器-实验报告
可编程逻辑器件应用项目报告书项目名称:交通灯控制器指导老师:姓名:学号:班级:(以后写报告要包含以下一些内容:)一、设计要求--------------------------------------------------------------------------------二、设计目的--------------------------------------------------------------------------------三、设计方案--------------------------------------------------------------------------------四、设计程序---------------------------------------------------------------------------------五、管脚分配---------------------------------------------------------------------------------六、硬件下载实现现象描述------------------------------------------七、体会、对设计工作的总结与展-------------------------------------------一、设计要求:①在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一方向(东西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。
②设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是20s、5s和25s。
③当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。
当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。
交通灯控制电路实验报告
交通灯控制电路实验报告交通灯控制电路实验报告引言:交通灯是城市交通管理的重要组成部分,它通过控制交通流量,维护交通秩序和安全。
交通灯的控制电路是实现交通灯工作的核心部件,本实验旨在通过搭建一个基本的交通灯控制电路,了解其工作原理和电路组成。
实验目的:1. 掌握交通灯控制电路的基本原理和工作方式;2. 学习使用电子元件搭建交通灯控制电路;3. 理解交通灯控制电路中各部件的功能和作用。
实验材料:1. 电路板;2. 电子元件:LED灯(红、黄、绿),电阻,电容;3. 连接线;4. 电源。
实验步骤:1. 将电路板连接到电源,确保电源正常工作;2. 根据电路图,将LED灯、电阻和电容等电子元件按照正确的连接方式搭建交通灯控制电路;3. 确保电路连接正确无误后,打开电源,观察交通灯的工作状态;4. 分别测试交通灯红、黄、绿灯的亮度和闪烁频率,并记录实验结果;5. 关闭电源,拆除电路。
实验结果:通过实验搭建的交通灯控制电路,我们观察到了交通灯的正常工作状态。
红灯亮起时,表示禁止通行;黄灯亮起时,表示准备停车;绿灯亮起时,表示可以通行。
我们还发现,红灯和黄灯会有一定的闪烁频率,而绿灯则保持稳定亮起。
实验分析:交通灯控制电路的工作原理是通过改变电路中的电阻和电容来控制LED灯的亮灭和闪烁频率。
当电路中的电阻和电容数值不同时,交通灯的工作状态也会有所不同。
例如,增大电阻值可以使红灯和黄灯的闪烁频率减慢,而减小电容值可以使绿灯的亮度增加。
实验总结:通过本次实验,我们深入了解了交通灯控制电路的工作原理和电路组成。
我们学会了使用电子元件搭建交通灯控制电路,并通过观察实验结果,加深了对交通灯的工作原理的理解。
此外,我们还通过调整电阻和电容的数值,改变了交通灯的亮灭和闪烁频率,进一步掌握了交通灯控制电路的调节方法。
实验的局限性:本实验只是搭建了一个基本的交通灯控制电路,没有考虑到实际交通灯系统中的复杂情况。
实际交通灯系统可能包含更多的电子元件和控制器,以满足更复杂的交通流量控制需求。
交通灯控制器 EDA实验报告
一、实验目的1、研究交通灯控制器的工作原理,进行功能设计;2、加深VHDL语言的理解;3、熟练掌握自上而下的分层设计方法;4、熟练掌握EDA软件QUARTUSII的开发流程。
二、实验仪器PC机一台;QUARTUSII 13.0软件;新型设备实验板Altera Blaster下载器三、题目解析1.东西,南北两个方向有红、黄、绿灯指示是否允许通行2. 设置时钟,以倒计时方式显示允许通行的时间3. 绿灯、黄灯、红灯的持续时间分别设置为20秒、10秒和30秒(时间也开自行设置)4. 当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,交通控制系统可由交警手动控制进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时。
特殊运行状态结束后,管理系统恢复状态继续正常运行四、实验原理五、模块设计以及总电路图1.简要说明由于两个交通灯的倒计时数字的显示是相同的,所以我们这次只使用了两个七段数码管来显示两个交通灯的时间。
我们把60s一个大循环分成了如图所示四个状态s0~s3,且还有一个s4为紧急状态。
当s4启动时,时钟暂停计时,交通灯均亮红灯。
有一个en为紧急状态按钮,rst为复位键,倒计时回到30s,转固态回到s0。
2.分频器模块本次课程使用的实验板默认50MHz晶振。
i_clk为输入信号,用于晶振信号输入;i_rst为复位信号;o_clk为分频信号,输出1Hz;3.LED灯控制模块通过给定的时钟输入判断当前状态下的LED灯点亮情况。
l_clk时钟信号输入l_rst复位l_en为使能端,用于紧急状况4.数码管显示模块通过给定的时钟输入判断当前时间的数字显示c_clk时钟信号输入c_rst复位c_en紧急out_h高位输出用于控制十位out_l地位输出用于控制个位5.数码管将上一部分输出信号进行译码显示6.总电路图根据题意,将各个模块根据原理连接起来,形成如图电路。
六、各个模块代码1.分频器LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;entity FREQUENCY_DIVIDER isgeneric(sys_clk_fre:INTEGER:=50000000;//输入频率div_clk_fre:INTEGER:=1//目标频率);PORT(i_clk : IN STD_LOGIC;i_rst : IN STD_LOGIC;o_clk : OUT STD_LOGIC);END FREQUENCY_DIVIDER;ARCHITECTURE FREQUENCY_DIVIDER_architecture OF FREQUENCY_DIVIDER ISsignal r_div_count:STD_LOGIC_VECTOR(31 downto 0);signal r_div_CLK:STD_LOGIC;BEGINprocess(i_clk,i_rst)beginif(i_rst='1')then //复位r_div_count<=x"00000000";r_div_clk <= '0';elsif(i_clk'event and i_clk='1')thenif(r_div_count=sys_clk_fre/div_clk_fre/2-1)thenr_div_count <= x"00000000";r_div_clk <= NOT r_div_clk;elser_div_count <= r_div_count+1;end if;end if;end process;o_clk <= r_div_clk;END FREQUENCY_DIVIDER_architecture;2.LED控制LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY light_control ISPORT(l_clk : IN STD_LOGIC;l_rst : IN STD_LOGIC;l_en : IN STD_LOGIC;red1 : OUT STD_LOGIC;red2 : OUT STD_LOGIC;ye1 : OUT STD_LOGIC;ye2 : OUT STD_LOGIC;green1 : OUT STD_LOGIC;green2: OUT STD_LOGIC);END light_control;ARCHITECTURE light_control_architecture OF light_control IS type state_type is(s0,s1,s2,s3,s4);signal current_state,next_state:state_type;signal counter:std_logic_vector(5 downto 0);BEGINsynch:process(counter)//60s循环beginif l_rst='1'thencounter<="000000";elsif l_clk'event and l_clk='1'thenif l_en='1' thencounter<=counter;elseif counter<59 thencounter<=counter+1;elsecounter<="000000";end if;end if;end if;end process;process(l_rst,l_clk)//状态转换beginif l_rst='1'thencurrent_state<=s0;elsif l_clk'event and l_clk='1'thencurrent_state<=next_state;end if;end process;state_trans:process(current_state)begincase current_state iswhen s0=>if l_en='1' thennext_state<=s4;elseif counter<26 thennext_state<=s0;elsenext_state<=s1;end if;end if;when s1=>if l_en='1' thennext_state<=s4;elseif counter<29 thennext_state<=s1;elsenext_state<=s2;end if;end if;when s2=>if l_en='1' thennext_state<=s4;elseif counter<56 thennext_state<=s2;elsenext_state<=s3;end if;end if;when s3=>if l_en='1' thennext_state<=s4;elseif counter<59 thennext_state<=s3;elsenext_state<=s0;end if;end if;when s4=>if l_en='1' thennext_state<=s4;elseif counter<19 thennext_state<=s0;elsif counter<29 thennext_state<=s1;elsif counter<49 thennext_state<=s2;elsif counter<59 thennext_state<=s3;elsenext_state<=s0;end if;end if;end case;end process;output:process(current_state)//状态输出begincase current_state iswhen s0=>red1<='0';green1<='1';ye1<='0';red2<='1';green2<='0';ye2<='0';when s1=>red1<='0';green1<='0';ye1<='1';red2<='1';green2<='0';ye2<='0';when s2=>red1<='1';green1<='0';ye1<='0';red2<='0';green2<='1';ye2<='0';when s3=>red1<='1';green1<='0';ye1<='0';red2<='0';green2<='0';ye2<='1';when s4=>red1<='1';green1<='0';ye1<='0';red2<='1';green2<='0';ye2<='0';end case;end process;END light_control_architecture;3.计时器LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY counter IS-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!PORT(c_clk : IN STD_LOGIC;c_rst : IN STD_LOGIC;c_en : IN STD_LOGIC;out_h : OUT STD_LOGIC_VECTOR(3 downto 0);out_l : OUT STD_LOGIC_VECTOR(3 downto 0));-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!END counter;-- Architecture BodyARCHITECTURE counter_architecture OF counter ISsignal coul,couh:std_logic_vector(3 downto 0);beginprocess(c_rst,c_clk,c_en)beginif c_rst='1' thencoul<="0000";couh<="0011";//这里是如果启用了复位键,就会从的第一个小循环30s开始倒计时,所以高位是0011也就是3,低位从0开始倒计时,即30→29…elsif c_clk'event and c_clk = '1' thenif c_en='1'thencouh<=couh;coul<=coul;elseif c_rst='0' thenif(coul=1 and couh=0)thencouh<="0011";coul<="0000";elsif coul=0 thencoul<="1001";couh<=couh-1;elsecoul<=coul-1;//这里是每减少10s高位会减1,如20→19高位从2到1,低位置9end if;end if;end if;end if;end process;out_h<=couh;out_l<=coul;END counter_architecture;4译码显示LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;-- Entity DeclarationENTITY digits_h IS-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!PORT(d_h : IN STD_LOGIC_VECTOR(3 downto 0);a : OUT STD_LOGIC;b : OUT STD_LOGIC;c : OUT STD_LOGIC;d : OUT STD_LOGIC;e : OUT STD_LOGIC;f : OUT STD_LOGIC;g : OUT STD_LOGIC);-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!END digits_h;ARCHITECTURE digits_h_architecture OF digits_h IS signal tmp:std_lOGIC_VECTOR(6 DOWNTO 0);beginprocess (d_h)begincase d_h iswhen"0000"=>tmp<="0000001";when"0001"=>tmp<="1001111";when"0010"=>tmp<="0010010";when"0011"=>tmp<="0000110";when"0100"=>tmp<="1001100";when"0101"=>tmp<="0100100";when"0110"=>tmp<="0100000";when"0111"=>tmp<="0001111";when"1000"=>tmp<="0000000";when"1001"=>tmp<="0000100";when others=>tmp<="1111111";end case;end process;a<=tmp(6);b<=tmp(5);c<=tmp(4);d<=tmp(3);e<=tmp(2);f<=tmp(1);g<=tmp(0);END digits_h_architecture;七、实验验证验证通过!八、心得体会通过本次数字电路与逻辑实验课程的学习以及大作业的完成,我们学习了EDA相关的编程语言基础语法与软件的基本使用,掌握了一定的基础能力,了解电子设计自动化这片领域的重要性以及其发展,实践了从设计到实现的全部过程,培养了对eda设计的兴趣,对本科阶段实操方面的能力很有帮助。
交通灯控制逻辑电路设计与总结报告
交通灯控制逻辑电路设计与总结报告第一篇:交通灯控制逻辑电路设计与总结报告交通灯控制逻辑电路设计与总结报告一、设计任务用CPLD设计路口交通灯控制器二、设计要求1、满足一下时序要求:南北方向红灯亮,东西方向绿灯亮;南北方向绿灯亮,东西方向红灯亮;2、每一方向的红(绿)黄灯总共维持30秒;3、十字路口要有时间显示,具体为:当某一方向绿灯亮时,置显示器为30秒,然后以每秒减一技术方式工作,直至减到数为4秒时,红绿灯熄灭,黄灯开始间隙闪耀4秒,减到0时,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环;4、红绿灯均采用发光二极管;5、设计由晶振电路产生1Hz标准秒信号的单元电路(实际秒脉冲由开发箱提供);6、要求对整体电路进行仿真,观察并记录下仿真波形;7、选作部分:a、手动调整和自动控制,夜间为黄灯闪耀;b、白天黄灯亮时,以2Hz的速度闪烁点亮四秒;c、红绿灯循环点亮时间可以自由修改。
三、设计设备含有Quartus软件的电脑一台,可下载的试验台;四、设计方法使用VHDL语言进行程序的设计运行和仿真,以及波形的运行仿真,最后进行下载仿真;五、方案论证方案1:把整个流程分成几个进程来做;方案2:每个进程都使用if和case语句实现功能的实现;方案3:使用状态机来实现状态间的转换;方案论证:1、要实现整个流程,需要做的输出内容类型不同,如果放在一个进程里面就比较容易混淆,产生混乱。
而采用分成几个进程来做的方式就明确了每个模块的内容和分工,使其调理清晰,一目了然;2、if语句是条件语句,是VHDL语言中常用的基本语句。
该流程中的变量比较繁多,而使用case语句分情况列出来,简洁明了。
3、状态机的使用格式简洁,使用简单方便,特别是在进行状态的转换时候。
并行的状态转换不易出错,可将状态转换、赋值、计数等多个功能封装在某一个状态中,并且更加便于为系统添加新的状态功能。
方案选定:通过以上分析,确定用以上方案为本次设计的方案。
数字逻辑交通灯实验报告
实验报告一、实验课题交通灯控制器二、任务要求在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序的通行。
具体要求如下:(1)在十字路口的两个方向上各设一组红绿黄灯。
(2)每个方向设置一组数码光,以倒计时的方式显示允许通行或禁止的时间。
可以自设时间。
(3)允许当特殊情况出现时,比如紧急状态,个方向上均是红灯亮,且显示数字在闪烁。
或者模拟夜间黄灯闪烁。
三、设计方案整个系统分为控制器模块、分频器模块、译码器模块。
1.控制器模块:控制器分为两个,A,B两路各一个,分别为ALU,BLU。
以A路为例,灯亮顺序为:红30秒 黄5秒绿25秒,并有特殊情况按键输入---------special。
当special=0时,交通灯正常显示,为1时候,两路全红灯。
代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Alu isport(clk,special:in std_logic;ar,ag,ay:out std_logic; ----红、绿、黄timas,timag:out std_logic_vector(3 downto 0)); ----十位、个位计数end Alu;architecture alu_arc of Alu istype rgly is(red,green,yellow); ---灯亮顺序为红30 黄5 绿25beginprocess(clk)variable a:std_logic; ----变量声明variable ts,tg:std_logic_vector(3 downto 0);variable state:rgly;beginif special='1' then ar<='1';elsif clk'event and clk='1' then ---高电平case state iswhen red=>if a='0' then --红灯状态ts:="0010"; --十位计2tg:="1001"; --个位计9a:='1';ag<='0';ay<='0';ar<='1'; -------------------------------------------------红灯先亮elseif not(ts="0000" and tg="0001") then --若计数值不为1if tg="0000" then --若个位为0tg:="1001"; --个位置9ts:=ts-1; --十位自减1elsetg:=tg-1; --个位自减1end if;elsets:="0000";tg:="0000";a:='0';state:=yellow;----转为黄灯状态end if;end if;when yellow=>if a='0' then -----黄灯状态ts:="0000"; ---十位置0tg:="0100"; ----个位置9a:='1';ag<='0' ;ay<='1'; --------------------------------黄亮ar<='0';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=green;end if;end if;when green=>if a='0' then --------红灯状态ts:="0010"; ----十位置2tg:="0100"; ---个位置4a:='1';ar<='0';ay<='0';ag<='1';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1 ;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=red;end if;end if;end case;timas<=ts;timag<=tg;end if;end process;end alu_arc;BLU和ALU相似,亮灯顺序为:绿25秒,黄5秒,红30秒。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《数字设计》课程实验报告
实验名称:交通灯控制逻辑电路的设计与仿真实现
学员:学号:
培养类型:年级:
专业:所属学院:
指导教员:职称:
实验室:实验日期:
交通灯控制逻辑电路的设计与仿真实现
实验目的:
1. 熟悉Multisim仿真软件的主要功能和使用。
2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。
3. 运用逻辑设计知识,学会设计简单实用的数字系统。
二、实验任务及要求:
1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。
每个干道各一组指示灯(红、绿、黄)。
要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。
2.要求:
(1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。
(2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。
在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。
(3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。
在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。
(4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。
在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。
(5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。
三、设计思路与基本原理:
依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。
其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。
在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。
接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。
最后完成定时电路的设计与调试。
整合电路,形成整个系统完整的电路,统调测试结果。
图 1 交通灯控制系统结构框图
各单元电路设计与调试: 时序逻辑电路的设计与调试
分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态表。
由表可知交通灯变换共有4种状态,因此需要两个触发器来控制其状态输出从00→01→11→10→00的状态循环。
实验中采用两个D 触发器设计电路。
画出二进制码状态表
现态 次态 Q1
Q0 Q1
Q0
交通灯状态
甲干道
乙干道 格雷码(Q 1Q 0) 绿灯 黄灯
红灯
绿灯
黄灯
红灯
00 √ √ 01 √ √ 11 √ √ 10
√
√
0 0 1 0 1 1 1 1 1 1 0 1
D 1(t+1)=Q 0 D 0(t+1)=Q 1
Q0 Q1 0 1 0 1 1 1
Q 0卡诺图 Q 1卡诺图
根据激励方程连接电路,并在mulitsim 上测试,电路图如下:
Q0 Q1 0 1 0 0 1 1
1
组合逻辑电路的设计与调试
在设计好时序逻辑电路的基础上,对照交通灯状态转化表,设计出相应输出状态下的组合逻辑电路并测试。
电路图如下:
定时电路的设计与调试
定时电路部分设计是交通灯系统设计的核心所在。
按题目要求,用74LS161设计出的电路需要能有16秒定时器与5秒定时器功能。
考虑到需要用到74LS161的记满16进位功能,所以在16秒定时器的预置数是0000,在5秒定时器的预置数为1011,不难发现,其中C的预置位都为0,故将其直接接地即可。
在16秒定时器中预置位A=B=D=0,在5秒定时器中预置位A=B=D=1,而他们对应的状态Q1Q0分别为00、11和01、10。
不难发现,A=B=D=Q1⊕Q0,所以可以采取该方法预置输入。
至于清零端,可采取记满进位信号来控制。
电路图如下:
整体电路设计与调试
在完成了各部分电路的设计后,整合电路,完成整个交通灯系统的设计与仿真。
电路图如下:
测试与调试说明(遇到的问题及解决办法)
实验结果提交的Multisim文件有定时器电路文件、时序电路文件、组合译码电路文件及完整的交通灯电路文件。
如图所示:
在设计过程中,在时序逻辑电路及组合逻辑电路中并未遇到问题,而在定时器设计电路中刚开始时如何设置预置位困扰了我。
在咨询同学下,发现了可以利用Q0与Q1异或达到要求的目的。
总结与体会
这次交通灯系统设计与仿真实验不仅让我巩固了平常的知识,提高了自己运用所学数字设计的能力,而且很大程度开拓了我的思维。
这不像实验书中的实验那样给定电路图,你只需要按图连接元件就可以。
这次实验需要我们自己发散思维,自己设计电路,很有效的检验和提高了我的数电设计能力。
思考题
用555定时器构造“秒脉冲发生器”,作为电路的时钟信号部分。
“秒脉冲发生器”电路(multisim文件见附件)如下:
电路测试截图如下:
用门电路构造“减法计数器”,在系统中加入倒计时数字显示功能。
倒计时电路multisim文件见附件。