QuartusII入门详细教程实例讲解

合集下载

QuartusII入门详细教程实例讲解

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解写在前面:1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。

2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。

3.本教程首先通过简单的仿真实验带大家入门。

VHDL源代码会附在文档最后。

4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。

目录开发软件基本介绍Quartus II一、Ⅱ简介1.1 Quartus工具,支持原理图输入、硬件描EDAAltera公司推出的专业是Quartus Ⅱ硬件描述语言的输入方式是利用类似高级程序的述语言的输入等多种输入方式。

设计方法来设计出数字系统。

开发流程1.2 Quartus ⅡQuartus 需注意的是,软件进行开发的流程如图1.2.1所示。

II 使用QuartusTCL还可以使用命令行模式的批处理脚本进行自动流程控制。

II页16 共页1 第开发流程Ⅱ图1.2.1 QuartusVHDL仿真)3-8二、用译码器的设计介绍QuartusⅡ的基本使用方法(打开软件1.1 所示。

1Ⅱ 9.1图标,打开软件,主页面如图双击桌面安装好的Quartus图1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中中,11在图)等快捷方式,compile),编译(找到。

2区为快捷工具栏:提供设置(setting 区为资源管3方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。

区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,4理窗口。

区为信息栏:编译或者区为工作区。

65当显示100%是表示编译或者综合通过。

综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

页16 共页2 第2.2新建工程运行菜单命令“File->New Project Wizard”,打开新工程向导,首先出现如图2所示的工程向导介绍对话框。

QUARTUSⅡ10.0使用入门

QUARTUSⅡ10.0使用入门

QUARTUSⅡ10.0使用入门1.建立工程运行QuatrusII软件(以下简称Q2),建立工程,File->New Project Wizad如下图点击New Project Wizard 后弹出指定工程名的对话框,在Diectory, Name, Top-Level Entity中如下图填写:按Next按钮,出现添加工程文件的对话框:在这里我们先不用管它,直接按Next进行下一步,选择FPGA器件的型号:栏选上“Show Advanced Devices”以显示所有的器件型号。

点击Next出现对话框:这里是选择其它EDA工具的对话框,我们用Q2的集成环境进行开发,因此这里不作任何改动。

按Next进入工程的信息总概对话框:按Finish按钮即建立一个空项目。

2.建立顶层图执行File->New,弹出新建文件对话框:选择“Block Diagram Schematic File”按OK即建立一个空的顶层图,缺省名为“Block1.bdf”,我们把它另存为(File->Save as),接受默认的文件名,并将“Add file to current project”选项选上,以使该文件添加到工程中去。

如图所示:3.添加逻辑元件(Symbol)双击顶层图图纸的空白处,弹出添加元件的对话筐:在Libraries里寻找所需要的逻辑元件,如果知道逻辑元件的名称的话,也可以直接在Name一栏敲入名字,右边的预览图即可显示元件的外观,按OK后鼠标旁边即拖着一个元件符号,在图纸上点击左键,元件即安放在图纸上。

在图纸上分别添加非门(not)、输入(input)、输出(output)三个symbol,如图所示:连线,将鼠标移到symbol连线端口的那里,鼠标变成图示模样:,按下左键拖动鼠标到另一个symbol的连线端。

本例中,这三个symbol的连线如下图所示:分别双击input和output symbol的名字“pin_name”、“pin_name1”,将它们的名字改为Key1,LED1:4.分配管脚为芯片分配管脚可以用QuartusII软件里的“Assignments->Pins”菜单,也可以用tcl脚本文件。

QuartusII教程(完整版)

QuartusII教程(完整版)

QuartusII教程(完整版)Quartus II 的使用 (1)1 工程建立 (1)2 原理图的输入 (4)3 文本编辑(verilog) (14)4 波形仿真 (17)Quartus II 的使用在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。

进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。

图 1.1 Quartus II 管理器1.1 工程建立使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。

还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。

建立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如图1.2所示。

图 1.2 建立项目的屏幕(2)输入工作目录和项目名称,如图1.3所示。

可以直接选择Finish,以下的设置过程可以在设计过程中完成。

图 1.3 项目目录和名称(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。

图 1.4 加入设计文件(4)选择设计器件,如图1.5所示。

图 1.5 选择器件(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。

图 1.6 选择EDA 工具(6)建立项目完成,显示项目概要,如图1.7所示。

图 1.7 项目概要1.2 原理图的输入原理图输入的操作步骤如下:(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。

图 1.8 新建原理图文件(2)在图1.9的空白处双击,屏幕如图1.10所示:(3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。

此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;图1.9 空白的图形编辑器图1.10 选择元件符号的屏幕图1.11 放置所有元件符号的屏幕(5)重复(4)的方法将DFF和output连起来,完成所有的连线电路如图1.12所示;(6)在图1.12中,双击input_name使其衬低变黑后,再键入clk,及命名该输入信号为clk,用相同的方法将输出信号定义成Q;如图1.13所示。

Quartus II入门篇(基本操作)

Quartus II入门篇(基本操作)

例化顶层文件名
一个工程实例Verilog_ex2
时序约束:点击 会新建".sdc文件",这种文件可以编写一些脚本,进行时序 约束。 依次点击 ,当点击Read SDC File后,
可以点击Constrains 会有很多约束选项,用户也可以通过编 写脚本,自定义时序约束。 eg: creat clk 最后点击Write SDC File 如果时序约束条件未达成会在Critical Warning中警告
需对未使用的管脚设置,以防警告
Assignments→Device →Device and Pin Options→Unused Pins→As input tristated
一个工程实例Verilog_ex2 工程文件Verilog_ex2的路径: C:\Users\Administrator\FPGA_workspace,注意不能有 中文。 仿真:(1)下载安装ModelSim-Altera或ModelSim,然 后确定路径Tools → Options→ General→ EDA Tool Options;(2)Processing→start→start Test Bench Template Writer会在“simulation”的“modelsim”文件夹下 得到".vt"的一个文件,编写得到Test Bench ;(3) Assignments→Settings→Simulation→Test Benches → New(按下图设置);(4)Tools→Run EDA Simulation Tool→EDA RTL Simulation;
creatclk最后点击writesdcfile如果时序约束条件未达成会在criticalwarning中警告设计完以后需要管脚分配可以assignmentspinplanner管脚分配将管脚直接拖动到芯片对应管脚分布图的标识上也可以直接在location上直接输入管当所有工作完成以后可以点击下载程序或者点击task下的programdevice

quartus2快速入门

quartus2快速入门

Quartus软件急速入门教程1. 以二输入与门电路为例,介绍在Quartus II环境下的编程开发流程(1) 启动Quartus II。

启动QuartusII可以看到主界面由四部分构成:工程导向窗口、状态窗口、信息窗口和用户区。

如图1.1所示。

图1.1、QuartusII基本界面(2) 利用向导,建立一个新项目。

在File菜单中选择New Project Wizard...选项启动项目向导。

Step1:如图1.2所示,分别指定创建工程的路径,工程名和顶层文件名。

工程名和顶层文件可以一致也可以不同。

一个工程中可以有多个文件,但只能有一个顶层文件。

这里我们将工程名取为:simple,顶层文件名取为and2_gate。

图1.2、QuartusII项目名称、路径、顶层文件设定窗口Step2:点击Next>按钮,页面二是在新建的工程中添加已有Verilog HDL文件的,本实验不需做任何操作。

Step3:点击Next>按钮,进入页面三,完成器件选择。

器件的选择是和实验平台的硬件相关的,根据我们的实验开发板,它使用的是MAX II系列型号为EPM1270T144C5的器件,封装为TQFP,管脚数144,速度等级为5,通过这些条件的限制,我们可以很快地在可选器件框(Available device)中找到相应的器件,如图1.3所示。

图1.3、QuartusII中器件选择窗口Step4:后面两步分别是对EDA工具的设定和工程综述,都不作任何操作。

点击Finish完成工程创建。

工程综述界面如图1.4所示。

图1.4、QuartusII项目设定完成综述窗口(3) 新建一个Verilog HDL文件。

Quartus II中包含完整的文本编辑程序(Text Editor),在此用Verilog HDL 来编写源程序。

新建一个Verilog HDL文件,可以通过快捷按钮,或快捷键Ctrl+N,或直接从File菜单中选择New...都可以,弹出页式对话框后选择Device Design Files页面的Verilog HDL File,点击OK按钮。

实验一_QuartusII的使用

实验一_QuartusII的使用

实验一_QuartusII的使用引言:Quartus II是一款由美国Intel公司开发的FPGA设计软件,广泛应用于数字集成电路设计和原型验证。

本实验将介绍Quartus II的基本使用方法,包括项目创建、设计输入、约束设置、编译与仿真等。

一、环境准备二、项目创建1.启动Quartus II软件,选择"File" -> "New Project Wizard"创建新项目。

在弹出的对话框中,选择项目的存储位置和名称,并选择合适的目标设备和设计流程。

点击"Next"进入下一步。

2.在第二步中,选择项目的项目类型和是否要添加预定义的IP (Intellectual Property)核。

IP核是现成的、可重用的模块,可以简化设计。

根据自己的需求进行选择,点击"Next"。

3.在第三步中,选择顶层设计文件的命名,并点击"Next"。

5.在第五步中,对项目的设置进行回顾,并点击"Finish"完成项目创建。

三、设计输入1.双击项目中的顶层设计文件,打开Design Entry工具。

在Design Entry工具中,可以通过图形界面或者Verilog/VHDL语言进行设计输入。

a.如果选择使用图形界面,可以在左侧工具栏中选择需要的元件,然后在设计区域中拖拽放置,最终形成需要的电路结构。

b.如果选择使用Verilog/VHDL语言,可以在设计区域中输入相应的代码,然后进行语法检查。

2.在设计完成后,可以使用编译按钮对设计进行编译。

编译过程中,Quartus II会对设计进行分析、优化和进行布线等操作,生成逻辑网表。

四、约束设置1.双击项目中的顶层设计文件,打开Design Constraints工具。

在Design Constraints工具中,可以设置时钟频率、信号约束、引脚约束等。

QuartusII教学教程(完全版)

QuartusII教学教程(完全版)

Quartus II 的使用 (1)1 工程建立 (1)2 原理图的输入 (5)3 文本编辑(verilog) (15)4 波形仿真 (18)Quartus II 的使用在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。

进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。

图1.1 Quartus II 管理器1.1 工程建立使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。

还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。

建立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如图1.2所示。

图1.2 建立项目的屏幕(2)输入工作目录和项目名称,如图1.3所示。

可以直接选择Finish,以下的设置过程可以在设计过程中完成。

图1.3 项目目录和名称(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。

图1.4 加入设计文件(4)选择设计器件,如图1.5所示。

图1.5 选择器件(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。

图1.6 选择EDA 工具(6)建立项目完成,显示项目概要,如图1.7所示。

图1.7 项目概要1.2 原理图的输入原理图输入的操作步骤如下:(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。

图1.8 新建原理图文件(2)在图1.9的空白处双击,屏幕如图1.10所示:(3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。

此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;图1.9 空白的图形编辑器图1.10 选择元件符号的屏幕图1.11 放置所有元件符号的屏幕(5)重复(4)的方法将DFF和output连起来,完成所有的连线电路如图1.12所示;(6)在图1.12中,双击input_name使其衬低变黑后,再键入clk,及命名该输入信号为clk,用相同的方法将输出信号定义成Q;如图1.13所示。

Quartus-II使用教程-完整实例2(精编文档).doc

Quartus-II使用教程-完整实例2(精编文档).doc

【最新整理,下载后即可编辑】Quartus Ⅱ入门教程(一个Verilog 程序的编译和功能仿真)Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。

硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。

接下来我们对这种智能的EDA 工具进行初步的学习。

使大家以后的数字系统设计更加容易上手。

第一步:打开软件● 快捷工具栏:提供设置(setting ),编译(compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。

● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。

● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

快捷工具栏菜单栏工作区资源管理窗口任务管理窗口第二步:新建工程(file>new Project Wizard )1 工程名称:2添加已有文件(没有已有文件的直接跳过next )所建工程的保存路径 工程名称顶层模块名(芯片级设计为实体名),要求与工程名称相同如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中。

3 选择芯片型号(我们选择cylone II系列下的EP2C70F896C6芯片)(注:如果不下载到开发板上进行测试,这一步可以不用设置)所选的芯片的系列型号快速搜索所需的芯片选择芯片4 选择仿真,综合工具(第一次实验全部利用quartus做,三项都选None,然后next)5 工程建立完成(点finish)选择第三方综合工具,如果使用Quartus内部综合工具则选择none选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none选择时序分析仪工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA工具选择情况,以及模块名等等信息。

第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

QuartusII教程(完整版)

QuartusII教程(完整版)

Quartus II 的使用......................................... 错误!未定义书签。

1 工程建立 ................................................... 错误!未定义书签。

2 原理图的输入 ........................................... 错误!未定义书签。

3 文本编辑(verilog) ............................. 错误!未定义书签。

4 波形仿真 ................................................... 错误!未定义书签。

Quartus II 的使用在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。

进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。

图 1.1 Quartus II 管理器1.1 工程建立使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。

还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。

建立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如图1.2所示。

图 1.2 建立项目的屏幕(2)输入工作目录和项目名称,如图1.3所示。

可以直接选择Finish,以下的设置过程可以在设计过程中完成。

图 1.3 项目目录和名称(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。

图 1.4 加入设计文件(4)选择设计器件,如图1.5所示。

图 1.5 选择器件(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。

Quartus_II使用教程-完整实例

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程之杨若古兰创作(一个Verilog 程序的编译和功能仿真)Quartus Ⅱ是Altera 公司推出的专业EDA 工具,撑持道理图输入、硬件描述说话的输入等多种输入方式.硬件描述说话的输入方式是利用类似高级程序的设计方法来设计出数字零碎.接上去我们对这类智能的EDA 工具进行初步的进修.使大家当前的数字零碎设计更加容易上手.第一步:打开软件●快捷工具栏:提供设置(setting ),编译(compile )等快捷方式,方便用户使用,用户也能够在菜单栏的下拉菜单找到响应的选项.●菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到.快捷工具栏菜单栏工作区资本管理窗口任务管理窗口信息栏:编译或者综合全部过程的具体信息显示窗口,包含编译通过信息和报错信息.第二步:新建工程(file>new Project Wizard )1工程名称:2添加已有文件(没有已有文件的直接跳过next )3选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC20810芯片)(注:如果不下载到开发板上进行测试,这一步可以不必设置)所建工程的保管路径工程名称顶层模块名(芯片级设计为实体名),请求与工程名称不异如果有曾经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中.4选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )所选的芯片的系列型号快速搜索所需的芯片选择芯片5工程建立完成(点finish)选择第三方综合工具,如果使用Quartus内部综合工具则选择none选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none选择时序分析仪工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA工具选择情况,和模块名等等信息.第三步:添加文件(file>new>VHDL file),新建完成以后要先保管.我们选择Verilog HDL File设计文件格式既选择Verilog文本输入方式第四步:编写程序以实现一个与门和或门为例,Verilog描述源文件如下:module test(a,b,out1,out2);input a,b;Output out1,out2;assignout1=a&b;assign out2=a | b;endmodule然后保管源文件;第五步:检查语法(点击工具栏的这个按钮(startAnalysis & synthesis ))点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner ))(注:如果不下载到开发板上进行测试,引脚可以不必分配)该窗口显示了语法检查后的具体信息,包含所使用的io 口资本的多少等内容,响应的英文名大家可以本人查阅语法检查成功,没有error 级别以上的错误各个端口的输入输出顶层某块的输入输出口与物理的芯片端口想对应双击location 为您的输入输出配置引脚.第七步:全体编译(工具栏的按钮(start Complilation))选择为使用端口选项卡第八步:功能仿真(直接利用quratus 进行功能仿真) 1将仿真类型设置为功能仿真(Assignments>setting>Simulator Settings>下拉>Function )该窗口给出综合后代码的资本使用情况既芯片型号等等信息.2建立一个波形文件:(new>Vector Waveform File)Functional暗示功能仿真,既不包含时序信息,timinng暗示时序仿真.加入线及寄存器的延时信息添加波形文件作为旌旗灯号输出文件,以便观察旌旗灯号的输出情况然后导入引脚(双击Name 上面空白区域>Node Finder>list>点击):接上去设置激励旌旗灯号(单击>选择>Timing>Multiplied by 1)设置b 旌旗灯号源的时候类同设置a 旌旗灯号源,最初一步改为Multiplied by 2然后要师长教师成仿真须要的网表(工具栏processing>Generate Functional Simulation Netlist )接上去开始仿真(仿真前要将波形文件保管,点击工具栏双击弹出右侧的对话框点击如下图添加旌旗灯号点击发生端口列表设置仿真的开始及结束时间设置输入旌旗灯号我们自定义的输入旌旗灯号开始仿真):由a,b 两个旌旗灯号经过我们设计的模块发生的结观察波形,刚好符合我们的逻辑.功能仿真通过.第九步:下载(点击(Programmer),再点击Hardware Setup配置下载电缆,单击弹出窗口的“Add Hardware”按钮,选择并口下载ByteBlasterMV or ByteBlasterMVⅡ,单击“Close”按钮完成设置.CPLD器件生成的下载文件后缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击start按钮开始下载)下载进度条下载是该选项必须打勾点击该按钮开始下载完!。

QuartusII操作简略入门

QuartusII操作简略入门
4
5. 将设计项目设置成工程和时序仿真
f_adder.bdf工程设置窗
5
5. 将设计项目设置成工程和时序仿真
加入本工程所有文件
6
5. 将设计项目设置成工程和时序仿真
全加器工程f_adder的仿真波形
7
步骤6:引脚锁定
8
4.4 设计实例
第5步:给输入、输出引脚分配引脚号码,编程下载
(1)对顶层图形文件counter_7seg.bdf 进行引脚锁定;
键2则对应 10K10的第 6脚,可输 入ain,依 次 类推。
13
根据电路结构模式NO.5 查上表,EPF10K10器件对应:
加数 ain : PIO1 -> 键2 \D10对应引脚 -> 6 被加数 binB : PIO0 -> 键1 \D9对应引脚 -> 5
和 sum : PIO8 -> D1对应引脚 -> 17 低位进位 cin : PIO2 -> 键3 \D11对应引脚 -> 7 高位溢出位 cout : PIO9 -> D2 对应引脚 -> 18
键1定义 为:bin
11
显示cout 这里插上的是 10K10目标板
显示电路 模式NO.5
显示sum
按此键选择 电路模式
输入cin
输入ain 输入bin12
对于10K10器件 选此列
对于电路 模式5,键 1对应于 10K10的第 5脚,可输 入bin
19
引脚锁定(实验1)
模式:NO.1
加数a[7..0] : 键4,键3 : PIO15 ~ PIO8 (25,24,23,22,21,19,18,17) ——显示于数码管4,3

QuartusII操作过程图解

QuartusII操作过程图解

基于Quartus II 9.0 的数字电路设计操作过程图解一.Quartus II 9.0 启动◆方法一、直接双击桌面上的图标,可以打开Quartus II 9.0 软件;◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 9.0】→【Quartus II 9.0 TalkBack Install】菜单命令,可以打开软件。

◆启动软件后,若你的电脑没有连接到Internet互联网,会出现如下图所示的提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。

点击〖确定〗继续,因为这不影响软件的正常使用。

◆若你的电脑已经正常连接到Internet互联网,则在打开软件时就不会出现以上的提示,并且可以通过软件界面右下方的两个图标:,直接连接到Altera公司的官方网站,以便获取更多的信息和资源。

二.Quartus II 9.0软件界面Quartus II 9.0软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。

三.Quartus II 9.0软件使用1. 新建项目工程使用QuartusII9.0设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。

因软件在完成整个设计、编译、仿真和下载等这些工作过程中,会有很多相关的文件产生,为了便于管理这些设计文件,我们在设计电路之前,先要建立一个项目工程(New Project),并设置好这个工程能正常工作的相关条件和环境。

建立工程的方法和步骤如下:(1)先建一个文件夹。

就在电脑本地硬盘找个地方建一个用于保存下一步工作中要产生的工程项目的文件夹,注意:文件夹的命名及其保存的路径中不能有中文字符。

(2)再开始建立新项目工程,方法如右图点击:【File】菜单,选择下拉列表中的【New Project Wizard...】命令,打开建立新项目工程的向导对话框。

Quartus_II使用教程完整实例

Quartus_II使用教程完整实例

Quartus Ⅱ入门教程(一个Verilog 程序的编译与功能仿真)Quartus Ⅱ 就是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。

硬件描述语言的输入方式就是利用类似高级程序的设计方法来设计出数字系统。

接下来我们对这种智能的EDA 工具进行初步的学习。

使大家以后的数字系统设计更加容易上手。

第一步:打开软件● 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。

● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。

●信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息与报错信息。

快捷工具栏菜单栏工作区资源管理窗口任务管理窗口第二步:新建工程( Project Wizard)1 工程名称:2添加已有文件(没有已有文件的直接跳过next) 所建工程的保存路径工程名称顶层模块名(芯片级设计为实体名),要求与工程名称相同如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中。

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置)4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next)所选的芯片的系列型号快速搜索所需的芯片选择芯片5 工程建立完成(点finish )选择第三方综合工具,如果使用Quartus内部综合工具则选择none选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none选择时序分析仪工程建立完成,该窗口显示所建立工程所有的芯片,其她第三方EDA工具选择情况,以及模块名等等信息。

第三步:添加文件(> VHDL file),新建完成之后要先保存。

我们选择Verilog HDL File设计文件格式既选择Verilog文本输入形式第四步:编写程序以实现一个与门与或门为例,Verilog描述源文件如下:module test(a,b,out1,out2);input a,b;Output out1,out2;assign out1=a&b;assign out2=a | b;endmodule然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis))点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner)) (注:如果不下载到开发板上进行测试,引脚可以不用分配)双击location 为您的输入输出配置引脚。

Quartus_II使用教程1和2 加详细例子,图形细解每一步

Quartus_II使用教程1和2 加详细例子,图形细解每一步

§2.3 相关技术基本知识与基本技能一、QuartusⅡ原理图输入法应用数字逻辑电路的基本知识,使用QuartusⅡ原理图输入法可非常方便地进行数字系统的设计。

应用QuartusⅡ原理图输入法,还可以把原有的使用中示规模的通用数字集成电路设计的数字系统移植到FPGA或CPLD中。

下面以一个二人表决器的设计为例说明QuartusⅡ原理图输入法的使用方法。

(一)建立工程文件夹1.新建一个文件夹作为工程项目目录首先在计算机中建立一个文件夹作为工程项目目录,此工程目录不能是根目录,比如D:,只能是根b录下的b录,比如D:\EDA _book\code\Chapter3\BiaoJueQi。

下一页§2.3 相关技术基本知识与基本技能2.建立工程项目运行Quartus Ⅱ软件,执行File=>New Project Wizard 命令,建立工程,如图2-17所示。

在图2-18界面中单击Next按钮。

在所弹出的图2-19 New Project Wizard对话框中,填写Directory,Name, Top-Level Entity等项目。

其中第一、第二、第三个文本框分别是工程项目目录、项目名称和项目顶层设计实体的名称。

单击Next按钮,出现添加工程文件的对话框,如图2-20所示。

若原来己有文件,可选择相应文件,这单直接单击Next进行下一步,选择FPGA器件的型号,如图2-21所示。

下一页上一页§2.3 相关技术基本知识与基本技能在Family下拉框中,根据需要选择一种型号的FPGA,比如Cyclone系列FPGA。

然后在“Available devices:”中根据需要的FPGA 型号选择FPGA型号,比如“EP1C3T144C8”,注意在Filters一栏中选中“Show Advanced Devices”以显示所有的器件型号。

再单击Next按钮,出现如图2-22所示对话框。

第4章 QUARTUS_II使用方法

第4章 QUARTUS_II使用方法
组装(Assembler)模块:形成编程文件;




时序分析(Timing Analyzer)模块;
产生EDA工具网表(EDA Netlist Writer)模块: 目的是与其他EDA工具相衔接。
29
10:48
编译结果的报告

本例为加法器的编译结果:
10:48
30
容易出现的错误



错将设计文件存入了根目录,并将其设定成工程,找 不到工作库时,报错为: Error:Can’t open VHDL “WORK” 文件后缀名不是.vhd,在设定工程后编译时,报错为: Error : Line1 , File e:\half_adder\half_adder.tdf:TDF syntax error… 设计文件名与实体名不符时,如写成adder.vhd,编译 时,报错为: Error:Line1,…VHDL Design File “adder.vhd“ must contain…
10:48
24
4 位加法器的VHDL代码(续)
ARCHITECTURE behav OF Adder4 IS SIGNAL temp: std_logic_vector ( width DOWNTO 0 ); BEGIN temp <= ( ' 0 ' & a ) + b + cin; cout <= temp (width); sum <= temp ( width - 1 DOWNTO 0 ); END behav; -- 第 20 行 -- 第 21 行
( 1)选择 Create Project
( 2)点击 OK
10:48 27

QuartusII教程(完整版)

QuartusII教程(完整版)

Quartus II 的使用 (2)1 工程建立 (2)2 原理图的输入 (5)3 文本编辑(verilog) (15)4 波形仿真 (16)Quartus II 的使用在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。

进入WINDOWS XP后,双击Quartus II图标,屏幕如图所示。

图 Quartus II 管理器工程建立使用 New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。

还可以指定要在工程中使用的设计文件、其它源文件、用户库和 EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。

建立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如图所示。

图建立项目的屏幕(2)输入工作目录和项目名称,如图所示。

可以直接选择Finish,以下的设置过程可以在设计过程中完成。

图项目目录和名称(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图所示。

图加入设计文件(4)选择设计器件,如图所示。

图选择器件(5)选择第三方EDA综合、仿真和时序分析工具,如图所示。

图选择EDA 工具(6)建立项目完成,显示项目概要,如图所示。

图项目概要原理图的输入原理图输入的操作步骤如下:(1)选择File 菜单下 New ,新建图表/原理图文件,如图所示。

图新建原理图文件(2)在图的空白处双击,屏幕如图所示:(3)在图的Symbol Name 输入编辑框中键入dff后,单击ok按钮。

此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图所示;在图中,将光标移到右侧input右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;图空白的图形编辑器图选择元件符号的屏幕图放置所有元件符号的屏幕(5)重复(4)的方法将DFF和output连起来,完成所有的连线电路如图所示;(6)在图中,双击input_name使其衬低变黑后,再键入clk,及命名该输入信号为clk,用相同的方法将输出信号定义成Q;如图所示。

QuartusII中文完整教程

QuartusII中文完整教程

Quartus II 的使用 (1)1 工程建立 (1)2 原理图的输入 (5)3 文本编辑〔verilog〕 (14)4 波形仿真 (16)Quartus II 的使用在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。

进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。

图Quartus II 管理器工程建立使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。

还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件〔也可以让Quartus II 软件自动选择器件〕。

建立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如图1.2所示。

图 1.2 建立工程的屏幕(2)输入工作目录和工程名称,如图1.3所示。

可以直接选择Finish,以下的设置过程可以在设计过程中完成。

图 1.3 工程目录和名称(3)参加已有的设计文件到工程,可以直接选择Next,设计文件可以在设计过程中参加,如图1.4所示。

图 1.4 参加设计文件(4)选择设计器件:选择仿真器和综合器类型〔默认“None〞为选择QuartusII自带的〕,选择目标芯片〔开发板上的芯片类型〕,如图1.5所示。

图 1.5 选择器件(5)选择第三方EDA综合、仿真和时序分析工具〔假设都不选择,那么使用QuartusII自带的所有设计工具〕如图1.6所示。

图 1.6 选择EDA 工具(6)建立工程完成,显示工程概要,如图1.7所示。

图 1.7 工程概要工程建立后,假设需要新增设计文件,可以通过 Project/Add_Remove……在工程中添加新建立的设计文件,也可以删除不需要的设计文件。

编译时将按此选项卡中显示文件处理。

注意:通过工程向导做作的设置都是可以在Assignments/settings下再进行修改的。

第四讲 Quartus II使用简介(自学)

第四讲 Quartus II使用简介(自学)
10
② Edit
Edit菜单的命令 主要是进行文件 编辑操作,不同 格式的文件,其 Edit菜单不完全 相同。下面只讲 解原理图文件编 辑菜单,菜单如 图所示。
11
◆ Replace:替换。 用鼠标左键Edit菜单中的Replace,将出现替换向导窗口,引导用户 替换原理图中的字符串。 ◆ AutoFit:自动适配。 对文件进行编译时适配器将自动适配时钟频率。 ◆ Line:连线类型。 Line分为Conduit Line(空心线)、Bus Line(总线)和Node Line(节点 线) 。 在原理图中选中了某导线,在菜单中将标明该导线的类型。选中导 线后,单击鼠标右键,将出现简化的编辑菜单,在该菜单中标明了 该导线的类型。同时,还可能实现这3种导线的互换。 ◆ Toggle Connection Dot:交叉连接点。 若2条垂直相交导线的电气连接,需要在交叉点上放置电气连接点, 但在原理图编辑器的工具条中没有独立的电气连接点。 采用Toggle Connection Dot功能就能在交叉点上放置电气连接点。 具体方法是用鼠标左键单击2条垂直相交导线中的某一根线,再单击 鼠标右键,在出现的简化编辑菜单中选择Toggle Connection Dot。 此时,在原理图中,2条垂直相交导线的交叉处将出现电气连接点。
◆ Archive Project :构造项目。
用鼠标左键单击Archive Project ,将出现对话框,引导用户把项目保 存为不同版本。 18
◆ Restore Archived Project
用鼠标左键单击Archive Project ,将出现对话框,引导 用户把项目的原版本重新保存在不同的路径下。
用鼠标左键单击Update Symbol or Block,将出现升级向导窗口,引导 用户将原来的宏模块符号和框图升级为修改后的形式。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Quartus II入门详细教程实例讲解写在前面:1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。

2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。

3.本教程首先通过简单的仿真实验带大家入门。

VHDL源代码会附在文档最后。

4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。

目录一、Quartus II开发软件基本介绍1.1 Quartus Ⅱ简介Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。

硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。

1.2 Quartus Ⅱ开发流程使用Quartus II 软件进行开发的流程如图1.2.1所示。

需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真)1.1打开软件双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。

图1在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。

2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。

3区为资源管理窗口。

4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。

5区为工作区。

6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2.2新建工程运行菜单命令“File->New Project Wizard”,打开新工程向导,首先出现如图2所示的工程向导介绍对话框。

点击Next按钮,进入如图3所示的下一设置页面,在其中设置工程目录、工程名称、顶层设计实体名称。

工程目录点击选择我们提前在D盘altera文件中的SCU文件夹,工程名称为liuzhen,顶层设计实体名称自动与工程名称相同。

图2 工程向导介绍图3 工程设置点击Next按钮,进入如图4所示的对话框,在其中可以添加已存在的文件至工程和设定库的路径,我们在此可跳过。

接着出现如图5所示的目标器件系列和具体芯片型号的设置对话框,如图5所示,用户可根据实际所使用的目标芯片和QuartusII的支持情况具体进行设置,当QuartusII不能支持时,则需要更换目标芯片或开发工具(MAX+ plus II)。

因为本次测试只进行设计和软件仿真,不进行硬件仿真,故任意选择。

点击“Next”进入下一步设置,选择仿真,综合工具本次实验全部利用quartus做,三项都选None,如图6所示。

然后next,最后将给出一个工程信息摘要,如图7所示,点击Finish按钮,完成工程建立并退出向导过程。

图4 添加文件至工程图5器件系列和目标芯片设置图6选择仿真,综合工具图7工程信息摘要2.3 创建VHDL文件,编写程序运行菜单命令“File->New”,如图8所示,点击“VHDL File”,然后点击OK。

图8 图93-8译码器的VHDL描述源文件如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_38 isport( a: in std_logic_vector(2 downto 0);b: out std_logic_vector(7 downto 0));end decoder_38;architecture Behavioral of decoder_38 isbeginprocess(a)begincase a iswhen "000"=> b <="11111110";when "001"=> b <="11111101";when "010"=> b <="11111011";when "011"=> b <="11110111";when "100"=> b <="11101111";when "101"=> b <="11011111";when "110"=> b <="10111111";when "111"=> b <="01111111";when others=> b <="11111111";end case;end process;end Behavioral;把程序写在图9所示VHDL文件中,接着按Ctrl+S 保存,文件保存在我们的工程文件夹SCU中,文件名为decoder_38.vhd,勾选Add file to current project。

如图10。

图102.4检查语法点击工具栏的这个按钮(start Analysis & synthesis),出现如图11所示错误:“Error: Top-level design entity "liuzhen" is undefined”。

图11出现这个错误的原因是保存的文件名和结构体名字不一致,在quartus软件中要求这样做,不然就出错。

解决方法是点击工程里的文件本身,然后右键单击后点击“Set as Top-Level Entity”,如图12所示。

图12 Set as Top-Level Entity再次点击工具栏的这个按钮,我们可以看见,已经没有错误了,如图13所示。

图13然后点击工具栏的这个按钮,进行整体编译。

[在执行本步以后,若要进行硬件仿真,需要锁定引脚,锁定引脚步骤在后面的注意处会介绍,若只是进行软件仿真则直接跳过锁定引脚这步]2.5功能仿真把仿真类型设置为功能仿真(Assignments->setting 单击->Simulator Settings 点击 ->下拉Simulation mode >Functional),如图14所示。

其中Functional表示功能仿真,既不包括时序信息,timinng表示时序仿真,加入线及寄存器的延时信息。

图14然后建立一个波形文件:(File->new->Vector Waveform File)。

添加波形文件作为信号输出文件,以便观察信号的输出情况.如图15所示。

图15然后导入引脚,双击如图16所示Name下面空白区域,接着点击如图17所示Node Finder,然后先点击图18中的list再点击,再点击OK即可。

图16 图17图18接着设置激励信号,单击,再点击,如图19所示。

再点击Timing,再Multiplied by 1,如图20所示。

设置a[1]信号源的时候类同设置a[0]信号源,最后一步改为Multiplied by 2;设置a[2]信号源的时候类同设置a[0]信号源,最后一步改为Multiplied by 3。

图19 图20图21图21中红框内为我们自定义的输入信号。

接着生成仿真需要的网表(工具栏processing->Generate Functional Simulation Netlist)。

弹出如图22所示,点击“是”进行保存,我们保存为liuzhen.vwf,如图23,再点击保存跳出图24表示成功。

图22 图23图24接下来开始仿真,点击工具栏开始仿真,结果如图25所示。

图25 仿真结果观察波形,3-8译码器产生的结果刚好符合我们的理论。

因此该功能仿真通过,本次仿真正确。

注意:1.在检查语法后,功能仿真前若需要下载到硬件进行仿真,要进行锁定引脚操作,下面大概介绍一下,因为一般新手刚入门还不需要进行硬件仿真。

点击工具栏的(pin planner),然后点击跳出来界面(图26)的 view-> ALL PIN LIST,接着根据实际选用的芯片的输入输出配置引脚,填写图27。

这里在创建工程的时候,在器件系列和目标芯片设置处要对应选自己的芯片型号。

图26图272.若需要下载到硬件进行仿真,最后一步还需要进行下载。

下载点击(Programmer),再点击Hardware Setup配置下载电缆,单击弹出窗口的“Add Hardware”按钮,选择并口下载ByteBlasterMV or ByteBlasterMVⅡ,单击“Close”按钮完成设置。

CPLD器件生成的下载文件后缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击start按钮开始下载三、用原理图进行仿真(用与门作为例子进行仿真)3.1新建工程请参考上一种方法,此处不赘述。

为了不出错,请重新建一个工程,不要用第一种方法的工程。

3.2新建原理图文件(File->new->Block Diagram/Schematic File)图3.2.1 图3.2.2接着选择对应原器件放置并连接好,首先点击Symbol Tool(图3.2.2所示),然后再Library里面选择我们要用的器件,我们这里用的是and2,如图3.2.3,点击OK,接着再点击,同样的方法选出2个输入和1个输出,如图3.2.4所示。

图3.2.3 图3.2.4然后把对应的引脚连接起来,同时可以点击pin_name对引脚进行改名。

连接好的原理图如图3.2.5所示。

图3.2.5接着按Ctrl+S 进行保存,我们把名字改为and_2.bdf,如图3.2.6。

图3.2.6接下来就是进行仿真,其步骤和我们VHDL方法中的2.5是类似的,请阅读2.5步骤。

最后我们会发现结果是正确的。

用原理图的方法就讲到这里。

四、VHDL源程序附录4.1 3-8译码器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_38 isport( a: in std_logic_vector(2 downto 0);b: out std_logic_vector(7 downto 0));end decoder_38;architecture Behavioral of decoder_38 isbeginprocess(a)begincase a iswhen "000"=> b <="11111110";when "001"=> b <="11111101";when "010"=> b <="11111011";when "011"=> b <="11110111";when "100"=> b <="11101111";when "101"=> b <="11011111";when "110"=> b <="10111111";when "111"=> b <="01111111";when others=> b <="11111111";end case;end process;end Behavioral;4.2 二输入与门LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY and2 ISPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END and2;ARCHITECTURE and2_behavior OF and2 ISBEGINc<= a AND b;END and2_behavior;4.3二输入或门LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2 ISPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END or2;ARCHITECTURE or2_behavior OF or2 ISBEGINc<=a OR b;END or2_behavior;4.4非门LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY not_gate ISPORT(a:IN STD_LOGIC;f:OUT STD_LOGIC);END not_gate;ARCHITECTURE not_gate_behavior OF not_gate ISBEGINf<= NOT a;END not_gate_behavior;4.5一位半加器LIBRARY IEEE;LIBRARY IEEE.STD_LOGIC_1164.ALL;ENTITY half_add_1 ISPORT(a:IN STD_LOGIC;b:IN STD_LOGIC;co:OUT STD_LOGIC;s:OUT STD_LOGIC);END half_add_1;ARCHITECTURE half_add_1_behavior OF half_add_1 ISBEGINco<= a AND b;s<= a XOR b;END half_add_1_behavior;。

相关文档
最新文档