QuartusII入门详细教程实例讲解
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Quartus II入门详细教程实例讲解
写在前面:
1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。
2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。
3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。
4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。
目录
一、Quartus II开发软件基本介绍
1.1 Quartus Ⅱ简介
Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。
1.2 Quartus Ⅱ开发流程
使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。
图1.2.1 Quartus Ⅱ开发流程
二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真)
1.1打开软件
双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。
图1
在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。
2.2新建工程
运行菜单命令“File->New Project Wizard”,打开新工程向导,首先出现如图2所示的工程向导介绍对话框。点击Next按钮,进入如图3所示的下一设置页面,在其中设置工程目录、工程名称、顶层设计实体名称。工程目录点击选择我们提前在D盘altera文件中的SCU文件夹,工程名称为liuzhen,顶层设计实体名称自动与工程名称相同。
图2 工程向导介绍图3 工程设置点击Next按钮,进入如图4所示的对话框,在其中可以添加已存在的文件至工程和设定库的路径,我们在此可跳过。接着出现如图5所示的目标器件系列和具体芯片型号的设置对话框,如图5所示,用户可根据实际所使用的目标芯片和QuartusII的支持情况具体进行设置,当QuartusII不能支持时,则需要更换目标芯片或开发工具(MAX+ plus II)。因为本次测试只进行设计和软件仿真,不进行硬件仿真,故任意选择。点击“Next”进入下一步设置,选择仿真,综合工具本次实验全部利用quartus做,三项都选None,如图6所示。然后next,最后将给出一个工程信息摘要,如图7所示,点击Finish按钮,完成工程建立并退出向导过程。
图4 添加文件至工程图5器件系列和目标芯片设置
图6选择仿真,综合工具图7工程信息摘要
2.3 创建VHDL文件,编写程序
运行菜单命令“File->New”,如图8所示,点击“VHDL File”,然后点击OK。
图8 图9
3-8译码器的VHDL描述源文件如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY decoder_38 is
port( a: in std_logic_vector(2 downto 0);
b: out std_logic_vector(7 downto 0));
end decoder_38;
architecture Behavioral of decoder_38 is
begin
process(a)
begin
case a is
when "000"=> b <="11111110";
when "001"=> b <="11111101";
when "010"=> b <="11111011";
when "011"=> b <="11110111";
when "100"=> b <="11101111";
when "101"=> b <="11011111";
when "110"=> b <="10111111";
when "111"=> b <="01111111";
when others=> b <="11111111";
end case;
end process;
end Behavioral;
把程序写在图9所示VHDL文件中,接着按Ctrl+S 保存,文件保存在我们的工程文件夹SCU中,文件名为decoder_38.vhd,勾选Add file to current project。如图10。
图10
2.4检查语法
点击工具栏的这个按钮(start Analysis & synthesis),出现如图11所示错误:“Error: Top-level design entity "liuzhen" is undefined”。
图11
出现这个错误的原因是保存的文件名和结构体名字不一致,在quartus软件
中要求这样做,不然就出错。解决方法是点击工程里的文件本身,然后右键单击后点击“Set as Top-Level Entity”,如图12所示。
图12 Set as Top-Level Entity
再次点击工具栏的这个按钮,我们可以看见,已经没有错误了,如图13所示。
图13
然后点击工具栏的这个按钮,进行整体编译。