第八讲 等精度测频

合集下载

《等精度频率计》课件

《等精度频率计》课件
误差来源
分析等精度频率计测量误差的主要来源,如信号源的频率稳定度、 计数器的计数误差等。
误差传递
研究误差在测量过程中的传递规律,为减小误差提供依据。
误差补偿
根据误差ห้องสมุดไป่ตู้析结果,采取相应的补偿措施,减小等精度频率计的测量 误差。
04
等精度频率计的性能测 试与评估
测试环境与设备
测试环境
实验室环境,确保电源稳定、温度适 宜、湿度适中。
应用领域拓展
通信领域
等精度频率计在通信领域的应用越来越广泛,如信号发生器、频 谱分析仪等。
测量测试
等精度频率计在测量测试领域的应用也越来越广泛,如时间频率测 量、电子元件测试等。
物联网领域
等精度频率计在物联网领域的应用也越来越广泛,如智能家居、智 能农业等。
未来发展方向
更高精度
随着科技的发展,对频率计的测量精度要求越来越高,未来等精 度频率计将向更高精度方向发展。
02
它采用等精度测量技术,消除了传统频率计由于时基不稳定而
引起的测量误差。
等精度频率计具有广泛的应用,如电子测量、通信、雷达、导
03
航等领域。
等精度频率计的原理
等精度频率计基于等精度测频 原理,采用高稳定的晶体振荡 器作为时基,对输入信号进行
计数和测量。
它通过计数输入信号在一定 时间内的周期数,再根据时 基的频率计算出输入信号的
宽测量范围
等精度频率计能够测量低频信 号和高频信号,测量范围较广 。
可扩展性
等精度频率计可以与其他测量 仪器进行集成,实现更复杂的 测量功能。
02
等精度频率计的应用
测量信号频率
测量信号频率是等精度频率计的基本 应用,它可以准确测量各种信号源的 频率,包括正弦波、方波、脉冲波等 。

等精度测频

等精度测频

等精度测频方法是在直接测频方法的基础上发展起来的。

它的闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步,因此,避除了对被测信号计数所产生±1个字误差,并且达到了在整个测试频段的等精度测量。

其测频原理如图1所示。

在测量过程中,有两个计数器分别对标准信号和被测信号同时计数。

首先给出闸门开启信号(预置闸门上升沿),此时计数器并不开始计数,而是等到被测信号的上升沿到来时,计数器才真正开始计数。

然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。

可以看出,实际闸门时间t与预置闸门时间t1并不严格相等,但差值不超过被测信号的一个周期[4]。

图1 等精度测频原理波形图等精度测频的实现方法可简化为图2所示。

CNT1和CNT2是两个可控计数器,标准频率信号从CNT1的时钟输入端CLK输入;经整形后的被测信号从CNT2的时钟输入端CLK输入。

当预置门控信号为高电平时,经整形后的被测信号的上升沿通过D触发器的Q端同时启动CNT1和CNT2。

CNT1、CNT2同时对标准频率信号和经整形后的被测信号进行计数,分别为N S与N X。

当预置门信号为低电平的时候,后而来的被测信号的上升沿将使两个计数器同时关闭,所测得的频率为(F S/N S)*NX。

则等精度测量方法测量精度与预置门宽度的标准频率有关,与被测信号的频率无关。

在预置门时间和常规测频闸门时间相同而被测信号频率不同的情况下,等精度测量法的测量精度不变。

图2 等精度测频实现原理图误差分析设在一次实际闸门时间t中计数器对被测信号的计数值为Nx,对标准信号的计数值为Ns。

标准信号的频率为fs,则被测信号的频率如式(1):fx=(Nx/Ns)·fs (1) 由式1-1可知,若忽略标频fs的误差,则等精度测频可能产生的相对误差如式(2-2):δ=(|fxe-fx|/fxe)×100% (2) 其中fxe为被测信号频率的准确值。

等精度测频—微弱电流检测电路

等精度测频—微弱电流检测电路

实验一等精度频率计的制作一、任务设计并制作一个等精度频率计。

二、要求和说明1、能够准确地测量1-1MHz方波(高电平接近单片机的VCC,低电平为0)的频率;2、测量的精度为≤±0.01%,测量速度≤1秒;3、适当扩展测试的功能,如脉宽、占空比的测量;3、尽可能地提高测量精度和测量速度;4、外围电路尽可能地简单。

三、方案参考用MCU频率测量方波频率的3种最基本方法为:测频法和测周法、多周期同步测频法。

测频法适合测量频率较高的脉冲,测周法适合测量频率较低的脉冲。

所谓的适合,主要是从测量的精度上考虑,因此测量不同频率范围的脉冲,需要将以上两种方法结合使用。

不管测频法还是测周法,其关键就是如何巧妙的设计和使用定时/计数器。

现在新型的MCU在定时/计数器单元上都增加了输入捕捉功能,学会掌握和正确使用这个输入捕捉功能能够大大提高频率测量的精度。

一般情况下,当测量频率的范围为1-1MHz、精度≤±0.01%时,可以分三段来进行测量。

1-100Hz采用测周法;100-10KHz采用多周期测频法;10KHz-1MHz采用测频法。

计数法:Fx = Nx±1/Tw Fx——信号频率Nx——计数个数Tw——计数时间这种测量方法的测量精度取决于计数时间和被测信号频率,当被测信号周期与计数时间相近时将产生较大误差。

少一个周期少一个周期多一个周期误差分析如下:测频法采用1秒内计数器计数的值来表达所测频率,该方法误差是绝对的,为±1,也就是±1Hz。

相对误差见下表:被测频率绝对误差测量精度1000000Hz ±1Hz ±0.0001%100000Hz ±1Hz ±0.001%10000Hz ±1Hz ±0.01%1000Hz ±1Hz ±0.1%100Hz ±1Hz ±1%1Hz ±1Hz ±100%从上表中可以看出,测频法在测量高频时测量精度好,频率越高精度越好。

等精度测频法的频率计设计

等精度测频法的频率计设计

摘要摘要频率测量是电子学测量中最为基本的测量之一。

频率计主要是由信号输入和放大电路、单片机模块、分频模块及显示电路模块组成。

AT89C52单片机是频率计的控制核心,来完成它待测信号的计数,译码,显示以及对分频比的控制。

利用它内部的定时/计数器完成待测信号频率的测量。

在整个设计过程中,所制作的频率计采用外部分频,实现1Hz-1kHz的频率测量及1ms-100ms的脉宽测量,而且可以实现量程自动切换流程。

以AT89C52单片机为核心,通过单片机内部定时/计数器的门控时间,方便对频率计的测量。

其待测频率值使用LCD液晶显示器显示,并可以自动切换量程。

本次采用单片机技术设计一种数字显示的频率计,具有测量准确度高,响应速度快,体积小等优点。

关键词:频率计,单片机,LCD液晶显示器。

I西安交通大学城市学院本科生毕业设计(论文)I IABSTRACTABSTRACTFrequency measurement is one of the most basic measurement electronics measurement. Frequency counter is dominated by the signal input and the amplifier circuit, microcontroller module, frequency module and the display circuit module. AT89C52 microcontroller is to control the core frequency meter to complete its measured signal counting, decoding, display and control divider ratio. Use its internal timer / counter to complete the test signal frequency measurements.Throughout the design process, by making use of external parts of the frequency meter frequency to achieve frequency measurement and pulse width measurement 1ms-100ms of 1Hz-1kHz, and can realize automatic range switching processes. To AT89C52 microcontroller as the core, through the internal microcontroller timer / counter gate time, easy measurement of the frequency meter. Its measured frequency value using the LCD display, and can automatically switch range. The use of microcomputer technology to design a digital display of frequency meter, have a measurement of high accuracy, fast response, small size and so on.KEYWORDS: Frequency meter, Single chip, LCD display.III西安交通大学城市学院本科生毕业设计(论文)I V目录目录1 绪论 (1)1.1 数字频率计简介 (1)1.2 单片机系统的研究现状 (2)1.3 频率计的研究现状 (2)1.4设计的技术要求和主要内容 (3)2 等精度频率计的原理与应用 (5)2.1 等精度频率计测量的原理 (5)2.2 脉冲宽度的测量方法 (6)2.3 等精度频率计的误差分析 (6)2.4 本章小结 (8)3 硬件电路设计 (9)3.1单片机周边电路 (9)3.2 51单片机及AT89C52介绍 (9)3.2.1 单片机简介 (9)3.2.2 AT89C52简介 (10)3.2.3 管脚说明 (11)3.2.4 AT89C52主要性能 (13)3.3 各部分电路图及电路工作原理分析 (13)3.3.1 时钟脉冲电路 (13)3.3.2 同步门逻辑控制电路 (14)3.3.3 LCD显示电路 (15)3.3.4 复位电路 (17)4 软件设计 (19)4.1 KEIL51软件简介 (19)4.2 软件的模块化设计及各部说明 (19)4.2.1 定时中断模块 (19)4.2.2 测量模块 (20)4.2.3 显示模块 (20)V西安交通大学城市学院本科生毕业设计(论文)V I 4.2.4 软件流程图 (20)5 系统仿真与调试 (21)5.1 Proteus软件简介 (21)5.2 Proteus的电路仿真 (22)5.3误差分析 (24)总结 (27)致谢 (29)参考文献 (31)附录 (33)1 程序 (33)2 附图 (41)3 外文翻译 (44)绪论1 绪论1.1 数字频率计简介数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。

等精度测量法原理

等精度测量法原理

等精度测量法原理引言等精度测量法是一种常用的测量方法,广泛应用于各个领域,如工业制造、地理测量、物理实验等等。

本文将从原理、应用、优缺点等方面系统地介绍等精度测量法。

原理等精度测量法是一种基于测量仪器的不确定度的测量方法。

它的原理是在测量仪器有一定的测量精度情况下,通过多次测量和统计分析,来获得更高的测量精度。

测量仪器的不确定度测量仪器的不确定度是指在特定测量条件下,测量结果与被测量值实际值之间的差异范围。

不确定度越小,测量精度越高。

多次测量和统计分析等精度测量法通过进行多次测量来减小测量误差。

每次测量都会有一定的随机误差,但它们的分布往往符合正态分布。

因此,通过对多次测量结果进行统计分析,可以用平均值代表真实值,并通过标准差来评估测量精度。

应用等精度测量法可以应用于各个领域的测量工作中,以下是几个常见的应用案例:工业制造在工业制造过程中,精确的测量是确保产品质量的重要一环。

等精度测量法可以通过在不同的时间、环境条件下对产品进行多次测量来获得更准确的尺寸数据,从而确保产品符合设计要求。

地理测量地理测量是对地球表面和地球现象进行测量的科学。

等精度测量法可以应用于地理测量中的各个环节,如地形测量、地理定位等。

通过多次测量和统计分析,可以获得地理数据的更高精度。

物理实验在物理实验中,精确的测量对于获得准确的实验结果至关重要。

等精度测量法可以有效地减小测量误差,提高实验结果的可靠性和准确性。

优缺点等精度测量法有以下优点和缺点:优点•可以通过多次测量和统计分析,获得更高的测量精度。

•适用于各个领域的测量工作,具有广泛的应用范围。

•可以减小测量误差,提高测量结果的可靠性和准确性。

缺点•需要进行多次测量和统计分析,增加了工作量和时间成本。

•依赖于测量仪器的精度,当测量仪器精度较差时,等精度测量法效果有限。

•对于非正态分布的测量误差,等精度测量法的效果可能不佳。

结论等精度测量法是一种基于测量仪器不确定度的测量方法,通过多次测量和统计分析,可以获得更高的测量精度。

等精度法测频测量原理M法

等精度法测频测量原理M法

等精度法测频一、 测量原理M 法、T 法的测量精度不仅取决于基准时间和计数器的计数误差,还取决于频率的高低,频率不同则精度不一样,M 法在高频段的准确度相对较高,T 法在低频段的准确度较高.M/T 法(等精度测量法)则在整个测试频段的精度一样,闸门信号是被测信号周期的整数倍,即与被测信号同步,因此大大减少了误差,但由于只与被测信号同步,而不与标准时钟同步,因此还是存在着±1计数误差.其测频原理图如图1所示,误差计算为'00000||||11100%x x x f f M f M M t f σ-∆=⨯=≤= 式中:x f 是被测信号频率真实值,'x f 是被测信号频率测量值,0t 为闸门时间,0f 为标准时钟频率。

由上式可知,误差与闸门时间和标准时钟频率有关,闸门时间越长,标准时钟频率越高,误差越小。

由于用等精度测频法时所采取的标准时钟频率比较高(10MHz 以上),因此±1计数误差相对很小。

二、 基于FPGA 的实现采用FPGA 设计,主要产生如下时序: StartClrTclkLockFclk其中,Start 作为闸门信号,Clr 是清零信号,Tclk 是被测信号,Lock 是锁存信号,Fclk 是标准频率信号。

当检测到Start 为高时,测量开始。

开始后Tclk 的第一个周期将Clr 和Lock 置高,将两个计数器全部清零。

当下一个Tclk 上升沿来临时将Clr 置低,同时开启两个计数器,开始计数。

待检测到Start 为低时,在Tclk 的下一个上升沿停止计数,将结果锁存,得到N t 和N 0,则可换算出被测信号的频率为:00t t N f f N = 测量电路如下:仿真时,clk1周期为20ns,频率为50M;clk2周期为203ns,频率为4.92611M。

当gate取值为50us时仿真结果波形如下,计算得测量的频率为4.92620M,误差为0.00009MHz.当gate取值为100us时仿真结果波形如下,计算得测量频率为4.92606M,误差为0.00005MHz。

等精度法测频率计的原理

等精度法测频率计的原理

等精度法测频率计的原理引言:等精度法测频率计是一种常用的频率测量方法,它利用稳定的参考信号与待测信号进行比较,从而精确地测量待测信号的频率。

本文将介绍等精度法测频率计的原理及其工作流程。

一、原理概述:等精度法测频率计的原理基于频率比较技术,通过将待测信号与参考信号进行相位比较,从而得到待测信号的频率信息。

其核心思想是将待测信号与参考信号进行周期性的比较,通过计算比较结果的平均值来消除测量误差,从而提高测量精度。

二、工作流程:等精度法测频率计的工作流程主要包括以下几个步骤:1. 产生参考信号:首先需要产生一个稳定的参考信号,通常使用高稳定度的晶振或标准频率源作为参考信号源。

2. 将待测信号与参考信号进行比较:待测信号与参考信号经过相位比较,得到相位差信息。

3. 相位差计算:通过测量待测信号与参考信号的相位差,可以计算出待测信号的周期。

4. 周期计算:根据相位差计算出的周期信息,可以得到待测信号的频率。

5. 精度提高:为了提高测量精度,需要进行多次测量并取平均值,以消除测量误差。

三、关键技术说明:等精度法测频率计的实现需要借助一些关键技术,包括:1. 相位锁定技术:通过将待测信号与参考信号进行相位锁定,可以确保两者相位一致,从而实现准确的相位比较。

2. 周期计数器:周期计数器用于测量待测信号与参考信号的相位差,通过计数器的计数结果可以得到待测信号的周期信息。

3. 数字信号处理:为了提高测量精度,可以利用数字信号处理技术对测量结果进行滤波、平均等处理,以消除噪声和提高信号质量。

四、优缺点分析:等精度法测频率计具有以下优点:1. 高精度:通过多次测量并取平均值的方法,可以消除测量误差,提高测量精度。

2. 稳定性好:利用稳定的参考信号进行比较,可以保证测量结果的稳定性。

3. 适用范围广:等精度法测频率计适用于各种频率范围的信号测量,包括低频、中频和高频等。

然而,等精度法测频率计也存在一些缺点:1. 对参考信号要求高:由于等精度法测频率计依赖于参考信号的稳定性,因此对参考信号的要求比较高。

等精度频率计的实现

等精度频率计的实现

等精度频率计的实现频率测量在电子设计和测量领域中经常用到,因此对频率测量方法的研究在实际工程应用中具有重要意义。

常用的频率测量方法有两种:频率测量法和周期测量法。

频率测量法是在时间t 内对被测信号的脉冲数N 进行计数,然后求出单位时间内的脉冲数,即为被测信号的频率。

周期测量法是先测量出被测信号的周期T,然后根据频率f=1/T 求出被测信号的频率。

但是上述两种方法都会产生±1 个被测脉冲的误差,在实际应用中有一定的局限性。

根据测量原理,很容易发现频率测量法适合于高频信号测量,周期测量法适合于低频信号测量,但二者都不能兼顾高低频率同样精度的测量要求。

1 等精度测量原理等精度测量的一个最大特点是测量的实际门控时间不是一个固定值,而是一个与被测信号有关的值,刚好是被测信号的整数倍。

在计数允许时间内,同时对标准信号和被测信号进行计数,再通过数学公式推导得到被测信号的频率。

由于门控信号是被测信号的整数倍,就消除了对被测信号产生的±l 周期误差,但是会产生对标准信号±1 周期的误差。

等精度测量原理如图1 所示。

从以上叙述的等精度的测量原理可以很容易得出如下结论:首先,被测信号频率fx 的相对误差与被测信号的频率无关;其次,增大测量时间段软件闸门或提高标频f0,可以减小相对误差,提高测量精度;最后,由于一般提供标准频率f0 的石英晶振稳定性很高,所以标准信号的相对误差很小,可忽略。

假设标准信号的频率为100 MHz,只要实际闸门时间大于或等于1s,就可使测量的最大相对误差小于或等于10-8,即精度达到1/100 MHz。

2 等精度测频的实现等精度测量的核心思想在于如何保证在实际测量门闸内被测信号为整数个。

等精度测频

等精度测频

5 等精度测频的应用
基于等精度测频原理的频率计
标准信号
被测信号 复位
分频器
计数器1 D触发器 运 显
计数器2


原理框图
fx
Nx fs Ns
t1
等精度测频原理图
预置闸门
t
实际闸门
标准信号
被测信号
Ns
Nx
3 误差分析
由于不存在±1误差,所以
1 tf s
对fs的计数Ns最多相差一个数的误差|Δ Ns|≤1 Τ=NxTx 准确值 fxe=[Nx/(Ns+Δ Ns)]/fs
δ =|Δ Ns|/Ns≤1/Ns=1/(Τ ·fs) 由上式可知闸门时间越长,标准频率越高,测频的相对 误差则越小。
等精度测频
60100226 徐凤贺
1 特点:等精度测频法不同于普通的测频法和测周法, 它的闸门时间是被测信号周期的整数倍,从ቤተ መጻሕፍቲ ባይዱ消除了
1误差。达到了在整个测试频段的等精度测量,且与被测 信号频率的大小无关。
2 原理:在测量过程中,预置闸门信号的上升沿启动测
量过程,但此时对被测信号计数的计数器1和对基准时钟 计数的计数器2并没有开始计数。预置闸门信号变为高电 平后,被测信号的第一个上升沿使得实际闸门信号变为 高电平有效信号,计数器1和2开始计数。当预置闸门信 号变为低电平信号时,计数器1和2并没有立即停止计数, 而是要等到紧接在其后的被测信号的上升沿到来时,实 际闸门信号变为低电平无效信号时才停止计数。若记计 数器1的计数值为Nx,计数器2的计数值为Ns,基准频率 为fs,被测频率为fx,则有
4 等精度测频存在的不足及改进方法
不足:等精度测频方法除了需要两个计数器分别对被测信号 和基准时钟进行计数外,还需要附加一个额外的计数器来产 生预置闸门控制信号,而且由于预置闸门控制信号的引入, 增加了同步电路的复杂度。当被测信号频率较高时,被测信 号的上升沿和预置闸门信号的下降沿可能会出现竞争冒险的 问题,从而造成误触发,影响了测量精度,降低了系统的可 靠性。 改进方法:其工作过程分为粗测和精测两步。 粗测时,将被测信号的预分频数设置为2,对其进行分 频,分频后信号的上升沿启动计数器1对基准频率进行计数, 其后紧接着的下降沿启动计数器1对基准频率进行计数,其 后紧接着的下降沿使计数停止,根据计数值的大小估算出

等精度测频原理

等精度测频原理
• 闸门时间固定为1s(分频得到),闸门 在闸门信号的下降沿时刻,锁存当前的计数值,并且将所有的频率计数器清零。
Fx=(F1/N1)* N2……………(2) 显示的频率是2s更新一次,且显示的内容是闸门下降沿时锁存的值。
信号是一个的方波; Fx=(F1/N1)* N2……………(2)
另外还必须有同样的八个BCD码来对输入的频率进行计数,在闸门下降沿的时候,将后者的值锁存到寄存器中。 预置门电路内部包括一个同步门电路,用来实现被测频标与被测频率的同步,提高测量精度,减少基本误差。
显示的频率是2s更新一次,且显示的内容是闸门下降沿时锁存的值。 预置门电路内部包括一个同步门电路,用来实现被测频标与被测频率的同步,提高测量精度,减少基本误差。
采用直接测频法进行频率测量,具体要求: 当拨动开关为高电平时,测量从外部输入的信号,否则测量系统数字时钟信号模块的数字信号。
被测频率通过一个拨动开关来选择是使用系统中的数字时钟源模块的时钟信号还是从外部输入一个信号进行频率测量。 采用直接测频法进行频率测量,具体要求:
• 该部分与清零脉冲协调工作用来控制两 个计数器的启动脉冲。
Fx/N2=F1/N1;…………………(1)
Fx=(F1/N1)* N2……………(2)
• 可见,测量精度与预置门时间无关,主 要由F1的频率稳定度来确定,测量精度基 本上近似于频标的稳定度。
等精度数字频率计设计
• 等精度测频时预置门信号通常为1s。
• 预置门电路内部包括一个同步门电路, 用来实现被测频标与被测频率的同步, 提高测量精度,减少基本误差。
,被测频率为Fx,则有公式: 直接测频法的测量准确度与被测信号的频率有关。
• 在闸门信号的下降沿时刻,锁存当前的 直接测频法的测量准确度与被测信号的频率有关。

等精度测量法原理

等精度测量法原理

等精度测量法原理等精度测量法原理引言:在工业生产和科学研究中,精度的要求越来越高,而且不同的测量方法有不同的精度。

等精度测量法是一种常用的测量方法,它可以提高测量的精度,本文将介绍等精度测量法的原理。

一、什么是等精度测量法等精度测量法是指在同一实验条件下,用两种或两种以上不同的仪器对同一物理量进行多次测量,使得各个仪器所得结果之间具有相同的标准差和均值。

这样就可以消除不同仪器之间存在的系统误差和随机误差,从而提高了整个实验系统的准确性。

二、等精度测量法原理1.误差来源在实际测量中,误差来源主要包括系统误差和随机误差。

系统误差是由于仪器本身或者环境因素引起的偏差;随机误差则是由于人为操作、环境变化等因素引起的偶然性波动。

2.数据处理方法等精度测量法采用数据处理方法来消除误差。

首先需要对每个仪器进行多次测量,得到多组数据,然后对每组数据求平均值和标准差。

接着,根据所得标准差和均值进行加权平均,以此来消除系统误差和随机误差。

3.加权平均方法加权平均方法是等精度测量法的核心。

它采用了一种特殊的平均方法,即将各个测量结果按照其标准差的倒数进行加权。

这样可以使得标准差较小的数据对结果产生更大的影响,从而提高了整个实验系统的精度。

三、等精度测量法优点1.提高了测量精度等精度测量法通过消除不同仪器之间存在的误差来提高了整个实验系统的精度。

2.可靠性高等精度测量法对于不同仪器之间存在的误差进行了消除,因此具有很高的可靠性。

3.适用范围广等精度测量法适用于各种不同类型的物理量测量,并且可以用于任意数量的仪器。

四、结论等精度测量法是一种有效地提高实验系统准确性和可靠性的方法。

它通过消除不同仪器之间存在的误差来提高了测量精度,具有很高的应用价值。

等精度数字频率计

等精度数字频率计

等精度数字频率计测量方法:一、测频原理所谓“频率”,就是周期性信号在单位时间变化的次数。

电子计数器是严格按照f=N/T的定义进行测频,其对应的测频原理方框图和工作时间波形如图1 所示。

从图中可以看出测量过程:输入待测信号经过脉冲形成电路形成计数的窄脉冲,时基信号发生器产生计数闸门信号,待测信号通过闸门进入计数器计数,即可得到其频率。

若闸门开启时间为T、待测信号频率为fx,在闸门时间T内计数器计数值为N,则待测频率为:fx = N/T若假设闸门时间为1s,计数器的值为1000,则待测信号频率应为1000Hz或1.000kHz,此时,测频分辨力为1Hz。

图1 测频原理框图和时间波形二、方案设计2.1总体方案设计等频率计测频范围1Hz~100MHz,测频全域相对误差恒为百万分之一,故由此系统设计提供100MHz作为标准信号输入,被测信号从tclk端输入,由闸门控制模块进行自动调节测试频率的大小所需要的闸门时间,这样可以精确的测试到被测的频率,不会因闸门开启的时间快慢与被测频率信号变化快慢而影响被测频率信号导致误差过大,被测信号输入闸门控制模块后,在闸门控制模块开始工作时使encnt端口输出有效电平,encnt有效电平作用下使能标准计数模块(cnt模块)和被测计数模块(cnt模块),计数模块开始计数,直到encnt 重新回到无效电平,计数模块就将所计的数据送到下一级寄存模块,在总控制模块的作用下,将数据进行load(锁存),然后寄存器里的数据会自动将数据送到下一模块进行数据处理,最后送到数码管或者液晶显示屏(1602)进行被测信号的数据显示。

闸门、计数、寄存的总控制模块2.2理论分析采用等精度测量法,其测量原理时序如图1所示从图1中可以得到闸门时间不是固定的值,而是被测信号的整周期的倍数,即与被测信号同步,因而,不存在对被测信号计数的±1 误差,可得到:变形后可得:对上式进行微分,可得:由于 dn=± 1 ,因而可推出:从式(5)可以看出:测量误差与被测信号频率无关,从而实现了被测频带的等精度测量;增大T或提高fs可以提高测量精度;标准频率误差为dfs/fs,因为晶体的稳定度很高,再加上FPGA核心芯片里集成有PLL锁相环可对频率进一步的稳定,标准频率的误差可以进行校准,校准后的标准误差便可以忽略。

等精度频率测量

等精度频率测量

一般测量时可能产生的误差时序波形图
1.1.3 等精度测频法
等精度测量法的机理是在标准频率比较测量法的基础上改 变计数器的计数开始和结束与闸门门限的上升沿和下降沿的严 格关系。当闸门门限的上升沿到来时,如果待测量信号的上升 沿未到时两组计数器也不计数,只有在待测量信号的上升沿到 来时,两组计数器才开始计数;当闸门门限的下降沿到来时, 如果待测量信号的一个周期未结束时两组计数器也不停止计数, 只有在待测量信号的一个周期结束时两组计数器才停止计数。 这样就克服了待测量信号的脉冲周期不完整的问题,其误差只 由标准频率信号产生, 与待测量信号的频率无关。最大误差为 正负一个标准频率周期,即Δt=±1/f0。由于一般标准信号频率 都在几十兆赫兹以上,因此误差小于 10-6。
等精度测量法的时序波形图
预置闸门时间产生电路产生预置的闸门时间TP,TP经同 步电路产生与被测信号(fx)同步的实际闸门时间T。
主门Ⅰ与主门Ⅱ在时间T内被同时打开,于是计数器Ⅰ 和计数器Ⅱ便分别对被测信号 (fx)和时钟信号(f0)的周 期数进行累计。
在T内,计数器Ⅰ的累计数NA=fx×T;计数器Ⅱ的累计数NB=f0×T。
fx
N1 N2
f0
标准频率比较测量法对测量时产生的时间门限的精度 要求不高,对标准频率信号的频率准确度和频率的稳定度 要求较高,标准信号的频率越高,测量的精度就比较高。 该方法的测量时间误差与时间门限测量法的相同,可能的 最大误差为正负一个待测信号周期,即Δt=±1/fx。测量时 可能产生的误差时序波形如图 所示。
5
键盘与显示部分的电 路如图所示,这是一个较 为典型的采用8155并行口 组成的键盘显示电路。七 位LED显示采用了动态显 示软件译码工作方式。段 码由8155的PB口提供,位 选码由PA口提供。键盘共 设置了4个按键,采用逐列 扫描查询工作方式,其列 输出由PA口提供,列输入 由PC口提供。由于键盘与显示做成一个接口电路,因此软件中合并考虑键 盘查询与动态显示。为了使显示器的动态扫描不出现断续,键盘防抖的延时 子程序用显示子程序替代。

FPGA学习——等精度测频

FPGA学习——等精度测频

FPGA学习——等精度测频由于最近一直在与队员一起攻克题目,所以没时间写博客,现在把最近做的东西总结一下,希望对大家有帮助。

以前一直是用测频率法来测信号的频率,就是在一秒内测被测频率的个数,即为频率,这种方法在高频时还行,低频就误差比较大,无论是用FPGA还是单片机都差不多,所以我们这次用了一种相对更精确的测量方法——等精度测频法,主导思想是利用一个D触发器保证测量时间是被测频率的整数倍,对被测频率和基准频率进行计数,利用计数值求出被测频率,这种方法在高频低频段均适用,由于测量误差只与基准频率和闸门时间有关,与被测频率无关,故称之为等精度测频。

由于FPGA对数据处理有困难,所以我们用FPGA计数,然后把数据传给单片机处理,显示数据。

我们这次的测频范围为0.01HZ到50MHZ,精确到小数点后两位,测频误差在10的-5次方以下。

我做的是FPGA的部分,先附上思路:等精度测频就是对基准频率和待测频率在一段时间内分别计数,通过一个D触发器确保计数时间是待测频率的整数倍,系统的误差只与门控时间和基准频率有关,与待测频率无关,达到等精度测频的目的。

计数器是用两个32位二进制计数器,通过一个3—8选择器把64位变成8位,传给单片机,经单片机处理后恢复出两个计数值,计算出频率。

RTL图附上程序,欢迎探讨分频模块——产生基准频率1MHZ方波LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FENPIN ISPORT(CLK:IN STD_LOGIC;CLOCK:OUT STD_LOGIC);END ENTITY;ARCHITECTURE ART OF FENPIN ISSIGNAL COUNT :INTEGER RANGE 0 TO 10#49#; BEGINPROCESS(CLK)ISBEGINIF(CLK'EVENT AND CLK='1')THENIF(COUNT=10#49#)THEN COUNT<=0;ELSE COUNT<=COUNT+1;END IF;END IF;END PROCESS;PROCESS(COUNT)ISBEGINIF(COUNT>=10#24#)THENCLOCK<='1';ELSE CLOCK<='0';END IF;END PROCESS;END ARCHITECTURE;D触发器模块——保证测量时间是被测频率整数倍LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DCHUFA ISPORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC;D:IN STD_LOGIC;Q:OUT STD_LOGIC);END ENTITY;ARCHITECTURE ART OF DCHUFA ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS(CLR,CLK)BEGINIF(CLR='0')THEN Q1<='0';ELSE IF(CLK'EVENT AND CLK='1')THENQ1<=D;END IF;END IF;END PROCESS;Q<=Q1;END ARCHITECTURE;计数模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JISHU ISPORT(CLK:IN STD_LOGIC;CLR,ENA:IN STD_LOGIC;OQ:OUT STD_LOGIC_VECTOR(31 DOWNTO 0));END ENTITY;ARCHITECTURE ART OF JISHU ISSIGNAL TMP:STD_LOGIC_VECTOR(31 DOWNTO 0);BEGINPROCESS(CLK,CLR,ENA)ISBEGINIF(CLR='0')THEN TMP<="00000000000000000000000000000000"; ELSIF(ENA='1')THENIF(CLK'EVENT AND CLK='1')THENTMP<=TMP+1;END IF;END IF;END PROCESS;PROCESS(ENA)BEGINIF ENA'EVENT AND ENA='0' THENOQ<=TMP;END IF;END PROCESS;END ARCHITECTURE;64转8模块——方便单片机读取数据LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JISHU ISPORT(CLK:IN STD_LOGIC;CLR,ENA:IN STD_LOGIC;OQ:OUT STD_LOGIC_VECTOR(31 DOWNTO 0));END ENTITY;ARCHITECTURE ART OF JISHU ISSIGNAL TMP:STD_LOGIC_VECTOR(31 DOWNTO 0);BEGINPROCESS(CLK,CLR,ENA)ISBEGINIF(CLR='0')THEN TMP<="00000000000000000000000000000000"; ELSIF(ENA='1')THENIF(CLK'EVENT AND CLK='1')THENTMP<=TMP+1;END IF;END IF;END PROCESS;PROCESS(ENA)BEGINIF ENA'EVENT AND ENA='0' THENOQ<=TMP;END IF;END PROCESS;END ARCHITECTURE;顶层模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FENPIN ISPORT(CLK:IN STD_LOGIC;CLOCK:OUT STD_LOGIC);END ENTITY;ARCHITECTURE ART OF FENPIN ISSIGNAL COUNT :INTEGER RANGE 0 TO 10#49#;BEGINPROCESS(CLK)ISBEGINIF(CLK'EVENT AND CLK='1')THENIF(COUNT=10#49#)THEN COUNT<=0;ELSE COUNT<=COUNT+1;END IF;END IF;END PROCESS;PROCESS(COUNT)ISBEGINIF(COUNT>=10#24#)THENCLOCK<='1';ELSE CLOCK<='0';END IF;END PROCESS;END ARCHITECTURE;。

等精度测频原理的频率计27页PPT

等精度测频原理的频率计27页PPT

60、生活的道路一旦选定,就要勇敢地 走到底 ,决不 回头。 ——左
ห้องสมุดไป่ตู้
56、书不仅是生活,而且是现在、过 去和未 来文化 生活的 源泉。 ——库 法耶夫 57、生命不可能有两次,但许多人连一 次也不 善于度 过。— —吕凯 特 58、问渠哪得清如许,为有源头活水来 。—— 朱熹 59、我的努力求学没有得到别的好处, 只不过 是愈来 愈发觉 自己的 无知。 ——笛 卡儿
等精度测频原理的频率计
16、人民应该为法律而战斗,就像为 了城墙 而战斗 一样。 ——赫 拉克利 特 17、人类对于不公正的行为加以指责 ,并非 因为他 们愿意 做出这 种行为 ,而是 惟恐自 己会成 为这种 行为的 牺牲者 。—— 柏拉图 18、制定法律法令,就是为了不让强 者做什 么事都 横行霸 道。— —奥维 德 19、法律是社会的习惯和思想的结晶 。—— 托·伍·威尔逊 20、人们嘴上挂着的法律,其真实含 义是财 富。— —爱献 生
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第八讲三、设计实例等精度频率、占空比测量仪1.综述传统频率测量方法是对设定的闸门时间内脉冲进行计数,有两个主要因素影响精度,其一是闸门时间的准确度,其二是对低频信号的取整误差,为了消除以上两个因素的影响可选择等精度测频法。

但等精度测频法占用可编程器件资源量大,如选用24位计数器的频率计,仅测频部分就占用了EPM7128芯片的百分之九十以上的资源;若选用100MHz标准时钟,其闸门时间仅能选择在0.168s以下,测频范围在6Hz以上,限制了对低频的测量。

若要扩大低频量程,需要相应增大可编程器件容量,则体积、功耗和价格相应增加。

本文所述等精度频率、占空比测量仪采用将复杂可编程逻辑器件(CPLD)与低功耗单片机相结合,由CPLD完成高频信号计数,单片机完成低频信号计数、频率和占空比计算和显示控制等功能,即简化了仪器的结构,又扩大了低频量程。

如单片机采用长整形变量计数(32位),再加上CPLD中16位计数器,等效为48位计数器构成的等精度测量仪,当选用100MHz标准时钟时,低频范围可达3.55×10-7Hz。

本文所述等精度频率、占空比测量仪结构框图如图8-1所示,CPLD可编程逻辑器件选用的是EPM7064芯片,单片机可根据实际情况选用。

图8-1 等精度频率、占空比测量仪结构框图单片机发出如下所示控制信号:CLEAR:SLCE为高时CPLD芯片内各计数器清零信号;SLCE为低时占空比清零和测量启动信号。

SLCE:功能选择控制信号。

高电平测频;低电平测占空比。

CONTRL:闸门时间信号。

高电平测频;低电平测占空比。

S[2..0]:输出选择控制信号。

000—CPLD 输出标准时钟信号四位计数值最低位;001—CPLD 输出标准时钟信号计数值次低位;010—CPLD 输出标准时钟信号计数值第三位;011—CPLD 输出标准时钟信号计数值第四位;100—CPLD 输出被测信号四位计数值最低位;101—CPLD 输出被测信号计数值次低位;110—CPLD 输出被测信号计数值第三位;111—CPLD 输出被测信号计数值第四位。

单片机读入如下所示信号:BZTC :标准时钟信号计数值进位位。

BCTC :被测信号计数值进位位。

CPEND :测频或测占空比结束信号。

DOUT :测量结果输出值,4位二进制数。

2.等精度测频工作原理等精度测频工作原理如图8-2所示。

闸门时间控制信号Contr 并不直接作用于计数器计数使能端ENA ,而是经D 触发器再作用于ENA ,D 触发器的触发信号为被测频率信号BCclk ,通过此D 触发器消除了被测频率信号取整误差,仿真波形图如图8-3所示。

图8-2 等精度测频工作原理图图8-3 等精度测频闸门控制信号波形图由于被测频率信号BCclk 计数值N C 与标准频率信号BZclk 计数值N B 是同一时间计数值,可得如下关系式:N fN fBBCC(1)其中:f C 为被测频率信号BCc lk 频率;N C 为被测频率信号BCclk 计数值;f B 为标准频率信号BZclk 频率;N B 为标准频率信号BZc lk 计数值。

f B 、频率为已知量,N C 、N B 为测得量,通过关系式(1)即可计算出被测频率。

对标准频率信号取整是影响测频精度的重要因素,可通过选择合适闸门时间和提高标准频率信号频率加以抑制。

占空比测量原理是测得被测脉冲高电平标准频率信号计数值N1和低电平标准频率信号计数值N2,占空比q 为:211N N N q +=(2)3.CPLD 功能设计根据以上原理,采用图形法与VHDL 语言混合设计方法对CPLD 进行功能设计,整体功能图如图8-4所示。

其中各单元模块功能、内部结构分别介绍如下:图8-4 CPLD 整体功能图(1)UP_COUNT 单元:带进位、使能和清零端16位加计数器。

具体VHDL 语言程序如下所示。

LIBRARY ieee;USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL;ENTITY up_count ISPORT (clk,clr,ena : IN Std_logic; tc : OUT Std_logic;q: INOUT Std_logic_vector(15 DOWNTO 0));END up_count;ARCHITECTURE behav OF up_count ISBEGINPROCESS( clk,clr )BEGINIF clr = '0' THEN q <= (OTHERS=> '0');ELSIF clk'EVENT AND clk = '1' THENIF q = "0000000000000000" THENtc <= '0';END IF;IF q = "1111111111111111" THENtc <= '1';END IF;IF ena = '1' then q <= q + 1; END IF;END IF;END PROCESS;END behav;(2)MUX32_4单元:32位—4位多路数据输出选择器。

具体VHDL语言程序如下所示。

library IEEE;use IEEE.std_logic_1164.all;entity mux32_4 isport (q: in STD_LOGIC_VECTOR (31 downto 0);s: in STD_LOGIC_VECTOR (2 downto 0);Y: out STD_LOGIC_VECTOR (3 downto 0));end mux32_4;architecture behav of mux32_4 isbeginprocess (s,q)begincase s(2 downto 0) iswhen "000" => Y(3 downto 0) <= q(3 downto 0);when "001" => Y(3 downto 0) <= q(7 downto 4);when "010" => Y(3 downto 0) <= q(11 downto 8);when "011" => Y(3 downto 0) <= q(15 downto 12);when "100" => Y(3 downto 0) <= q(19 downto 16);when "101" => Y(3 downto 0) <= q(23 downto 20);when "110" => Y(3 downto 0) <= q(27 downto 24);when others => Y(3 downto 0) <= q(31 downto 28);end case;end process;end behav;(3)MUX2_1单元:2位—1位数据选择器。

具体VHDL语言程序如下所示。

library IEEE;use IEEE.std_logic_1164.all;entity MUX2_1 isport (BZclk,BCclk,Slec: in STD_LOGIC;Tena : out STD_LOGIC);end MUX2_1;architecture arch of MUX2_1 isbeginTena <= BZclk when Slec= '0' else BCclk ;end arch;(4)zkbtest单元:占空比测试信号发生器。

逻辑符号图如图8-5所示。

闸门时间信号contrl为低电平时,发清零信号后ZZQ和FZQ分别产生被测脉冲高、低电平等宽度的脉冲信号,仿真时序图如图8-6所示。

将ZZQ和FZQ信号经多路选择器分别接入两个UP_COUNT单元,并将UP_COUNT单元clk端均接入标准时钟信号,则这两路计数值即为占空比测量中的N1、N2值。

图8-5占空比测试信号发生器逻辑符号图图8-6占空比测试信号发生器仿真时序图4.CPLD功能仿真测频时,BZclk标准时钟输入5MHz脉冲信号;BCclk被测信号输入100kHz脉冲信号。

单片机向Slce发高电平选择信号后,再发清零负脉冲和计数控制正脉冲(脉宽15ms),时序图如图8-7所示。

图8-7 启动测频功能时序图CPLD芯片测频全过程时序图如图8-8所示。

启动测频后测频结束信号线CPEND变低电平,测频结束后变高电平,这一上升沿触发单片机读入测频结果。

在开启测频后,BZTC 出现一个进位信号,单片机内相应计数单元应加一。

读入测频结果时,单片机向CPLD芯片分别发三位二进制码,并如前所述分别读入测频结果。

图9-8 测频全过程时序图测频结束CPLD芯片数据输出时序图如图8-9所示。

N B=124F8H=75000,N C =5DCH=1500,标准频率信号f B、=5MHz,被测频率为100kHz。

与仿真设定值相同,证明CPLD芯片测频逻辑功能设计正确。

图8-9 数据输出时序图测占空比时,Slce、Contrl都必须为低电平,Clear负脉冲启动占空比测量,CPEND上升沿标志测量结束。

测量结束后,单片机向CPLD芯片分别发三位二进制码,并如前所述分别读入测频结果。

占空比测量仿真时序图如图8-10所示,被测脉冲高电平脉宽0.05ms,低电平脉宽0.1ms,其相对应的计数值N1=FAH=250,N2=1F4H=500,占空比q=1/3。

仿真测量结果与设定值相同,证明CPLD芯片占空比测量逻辑功能设计正确。

图8-10占空比测量仿真时序图5.CPLD引脚定义CPLD引脚定义如图8-11所示。

图8-11 CPLD引脚定义。

相关文档
最新文档