哈工大2012数字电路大作业题目
哈工大2012数字电路大作业题目
数字电路大作业题目说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。
题目1:电子密码锁的设计[设计要求](1)设计一个开锁密码至少为4位数字(或更多)的密码锁。
(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。
(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。
(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。
注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等)题目2:乒乓球比赛模拟机的设计乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。
[设计要求](1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”的移动速度可以调节。
(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。
(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。
(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。
(5)能显示发球次数。
注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等)题目3:液体点滴速度监控装置的设计设计医用点滴速度自动控制装置。
假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。
[设计要求](1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。
数电课后题答案(哈工大版)课后习题答案
第6章 逻辑代数基础6.2 授课的几点建议6.2.1 基本逻辑关系的描述基本逻辑关系有“与”、“或”、“非”三种,在本教材中采用文字叙述和常开触点、常闭触点的串、并联等形式来加以描述。
还有一种描述逻辑关系的图,称为文氏图(V enn diagram )。
图6.1(a)圆圈内是A ,圆圈外是A ;图6.1(b)圆圈A 与圆圈B 相交的部分是A 、B 的与逻辑,即AB ;图6.1(c)圆圈A 与圆圈B 所有的部分是A 、B 的或逻辑,即A +B 。
与逻辑AB 也称为A 与B 的交集(intersection );或逻辑A +B 也称为A 和B 的并集(union )。
(a) 单变量的文氏图 (b) 与逻辑的文氏图 (c) 图6.1 文氏图6.2.2 正逻辑和负逻辑的关系正逻辑是将双值逻辑的高电平H 定义为“1”,代表有信号;低电平L 定义为“0”,代表无信号。
负逻辑是将双值逻辑的高电平H 定义为“0”,代表无信号;低电平L 定义为“1”,代表有信号。
正逻辑和负逻辑对信号有无的定义正好相反,就好象“左”、“右”的规定一样,设正逻辑符合现在习惯的规定,而负逻辑正好反过来,把现在是“左”,定义为“右”,把现在是“右”,定义为“左”。
关于正、负逻辑的真值表,以两个变量为例,见表6.1。
表6.1由表6.1可以看出,对正逻辑的约定,表中相当是与逻辑;对负逻辑约定,则相当是或逻辑。
所以正逻辑的“与”相当负逻辑的“或”;正逻辑的“或”相当负逻辑的“与”。
正与和负或只是形式上的不同,不改变问题的实质。
6.2.3 形式定理本书介绍了17个形式定理,分成五类。
需要说明的是,许多书上对这些形式定理有各自的名称,可能是翻译上的缘故,有一些不太贴切,为此,将形式定理分成5种形式表述,更便于记忆。
所以称为形式定理,是因为这些定理在逻辑关系的形式上虽然不同,但实质上是相等的。
形式定理主要用于逻辑式的化简,或者在形式上对逻辑式进行变换,它有以下五种类型:1.变量与常量之间的关系;2.变量自身之间的关系;3.与或型的逻辑关系;4.或与型的逻辑关系;5.求反的逻辑关系——摩根(Morgan )定理。
哈工大电大数字电子技术基础大作业
哈工大电大数字电子技术基础大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业
课程名称:数字电子技术基础
设计题目:血型与状态机
院系:
班级:
设计者:
学号:
哈尔滨工业大学
血型逻辑电路设计
一实验目的
1.掌握采用可编程逻辑器件实现数字电路与系统
的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件
的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方
法。
二设计要求
1.采用BASYS2开发板开关,LED,数码管等制作验
证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设
计到BASYS2开发板。
三电路图
1.电路模块图(简化)
应用:
2.内部电路组成(简化)。
哈理工电子技术数字部分
)。 (c) 直 流 信 号 )。
(c)
F ( A B )( C D )
电 路 的 逻 辑 式 为(
)。
(a) F A B+A B (b) F AB+ A B (c) F AB +AB (d) F AB AB
1
A
& ≥1 &
F
B
1
第 1 页 共 70 页
第 6 页 共 70 页
________ __________ __________ __________ __
哈尔滨理工大学 《数字电子技术》单元练习题
(d) AB CD D ( B C D ) ( A C ) BD A ( B C ) (e) L( A, B, C , D ) m(3,4,5,6,7,8,9,10,12,13,14,15) (f) L( A, B, C , D ) m(0,1,2,5,6,7,8,913,14) (g) L( A, B, C , D ) m(0,1,4,6,9,13) d (2,3,5,7,11,15) (h) L( A, B, C , D ) m(0,13,14,15) d (1,2,3,9,10,11)
__________ __________ __________ ____ __________ ______
(m) AB ABC A( B AB )
__________ __________ __________ ____ __________ ______
9.将下列各式转换成与 – 或形式 (a) A B C D
不 定
t1
9、 逻 辑 图 和 输 入 A, B 的 波 形 如 图
哈工大2012年接口程序考试题
哈工大 2012年秋季学期接口技术 (A) 试题一、 用并行接口8255A (基址300H )实现对16个LED 的控制。
此16个LED 构成一个圆环,使得所有灯两亮两灭连续,并每隔一定时间(可调用delay )顺时针游走(可看成同时右移一位)。
请画出硬件电路图,并编写完整程序。
(20分)答:数据、控制、地址、译码各1分、PA3分,PB3分 本题可以灵活,只要组够16个输出即可.MODEL SMALL.STACK.CODESTART: MOV AX,@DATAMOV DS,AXMOV DX,303HMOV AL,10000000BOUT DX,AL ;初始化8255(2分)MOV AX,0CCCCH ;初始灯状态两亮两灭(1分)L: MOV DX,300H ;PAOUT DX,AL ;(2分)INC DX ;PBMOV AL,AHOUT DX,AL ;(2分)ROR AX,1 ;循环右移1位;(2分)CALL DELAYJMP LMOV AX,4C00HINT 21H ;总体结构1分END START二、采用Intel8253(基址300H)制作定时炸弹,要求90000个CLK后,定时炸弹爆炸。
请设计软硬件系统。
(10分)。
答:可以双计数器级联,也可以3个计数器级联。
只要处置乘积90000即可画图10分:与CPU连接部分4分:数据总线、控制总线、地址总线、译码各1分IO部分6分:Gate:1分,CLK各1分,OUT各1分(两片)。
3片式可以酌情给分(8253计数器级联的选择可以灵活,都算正确)三级连:C0:初值100 C1:初值100 C2:初值9 只要乘积90000即可两级连:C0:初值300 C1:初值300 只要乘积90000即可 MOV DX,303HMOV AL,10110110B ;计数器2初始化 10110100B也可以OUT DX,AL ;方式3,16位,二进制 //方式2也可以NOP (初始化2分)MOV DX,302HMOV AX,9OUT DX,ALMOV AH,ALOUT DX,AL ;计数器2初值为9NOP (写初值1分)MOV DX,303HMOV AL,01110110B ;计数器1初始化 01110100B也可以OUT DX,AL ;方式3,16位,二进制 //方式2也可以NOP (初始化2分)MOV DX,301HMOV AX,100OUT DX,ALMOV AH,ALOUT DX,AL ;计数器1初值为5000NOP (写初值1分)MOV DX,303HMOV AL,00010000B ;计数器0初始化OUT DX,AL ;方式0,8位,二进制NOP (初始化2分)MOV DX,300HMOV AL,100OUT DX,AL ;计数器0初值为4(写初值1分)顺序:1分。
哈工大数电试卷1
数字电子技术 (A 卷)一、 填空题:(每空2分,共30分) (1)(11100.011)2 =( 28.6 )10 =( )8421BCD (2) (204.125) 10 =( ) 2 =( )8 =( )16 (3)字母TTL 代表( )。
(4) 三态门的输出状态共有( )三个状态。
(5)将模拟信号转换为数字信号需经过( )四个步骤。
(6)触发器的触发方式可分为电平触发和( )触发。
(7) 逻辑门电路输出端可驱动同类门电路的个数称为( )。
(8) 将))((C B A C B A Y ++++= 化为最小项形式为( )。
(9) ( )(译码器,编码器)的特点是在任一时刻只有一个输入有效。
(10)一位半加器具有( )个输入和两个输出。
(11)已知A/D 转换器的分辨率为8位,其输入模拟电压范围为0~5V ,则当输入电压为1.96V 时,输出数字量为( )。
(12)利用双稳态触发器存储信息的RAM 称为( )RAM 。
二、(共10分) (1)(4分) 用代数法化简:C B BC C B A BCD A A F ++++=(2)(6分) 用卡诺图化简: 三、 (10分)已知逻辑电路如图1所示,试分析其逻辑功能。
(要求:写出简化逻辑表达式、真值表和逻辑功能。
)图1四、(12分)如图2,分别用(1)八选一数据选择器;(2) 3-8线译码器74LS138及其逻辑门;实现逻辑函数: (说明:A 2 为高位) C B A C B A AC F ++=五、(15分)电路和波形如图3 (a ),(b)所示,设Q 0 ,Q 1 的初态均为0。
(1)写出驱动方程,状态方程和输出方程;(2)画出Q 0 ,Q 1和Y 的波形(直接画在本试卷上)。
图3 (a )图3 (b )六、(12分)试利用集成计数器74LS161(图4)和必要的逻辑门, (1) 用反馈清零法构成12进制计数器; (2) 用反馈置数法构成8进制计数器,要求计数器的初始状态为“0” ;(上述均要求画出状态转换图。
哈工大2012年数电期末试题+答案
哈工大 2012 年 秋 季学期数字电子技术基础(A)试 题一、(12分)填空和选择(每空1分)(1)进制为一千的计数器至少应使用_________个触发器实现。
(2)集电极开路门使用时应注意在输出端接_______________。
(3)32选1数据选择器有____________个选择变量。
(4)函数式Y =+AB BCD ,写出其对偶式Y '=_______________________。
(5)相同供电电源的CMOS 门电路与TTL 门电路相比,_________________门的噪声容限更大;_________________门的静态功耗更低。
(6)模数转换时,要求能分辨ADC 输入满量程0.1%的变化,则至少需要使用____________位的ADC 。
若信号频率为20kHz ,则要求该ADC 采样频率至少为____________kHz 。
(7)由与非门构成的基本RS 触发器,其约束条件是__________________________。
(8)下列器件的信号一定不能和其他输出信号接在一起的是______________。
(a )RAM 的数据信号;(b )ROM 的数据信号; (c )74LS138的输出信号。
(9)下列说法正确的是____________________。
(a )输入悬空时,TTL 门电路的输入端相当于接低电平; (b )输入悬空时,CMOS 门电路的输入端相当于接低电平; (c )输入悬空时,CMOS 门电路的输入端相当于接高电平; (d )实际应用中,门电路的输入端应尽量避免悬空。
(10)用万用表测量一个标准TTL 门电路的输出信号,发现其值为1.5V ,可能的情况有(多选):______________________________________。
(a )输出端处于高阻态; (b )两输出信号短接; (c )输出为脉冲信号; (d )驱动门过载。
哈工大数字电路勘误20120210
《数字电子技术基础》勘误表1.P1第8行将“常用的硬件描述语言有哪些?其各具有什么特点?”改为“各具有什么特点?”2.P2图1.2.1中的器件改为3.P2倒数第6行“(Application-Specific Integrated Circuit,ASIC)”改为“(Application Specific Integrated Circuit,ASIC)”4.P9第15行“它的权展开式为”改为“它的位权展开式为”5.P10第7行“八进制数的权展开式为”改为“八进制数可以展开为”6.P45“16.下列说法不正确的是________。
A.全部最小项之和恒等于1B.最小项的反是最大项C.最小项的对偶式是最大项D.任意两个最小项mi和mj(i≠j)的乘积恒等于0”改为“16.下列说法不正确的是________。
A .全部最小项之和恒等于1B .最小项的反是最大项C .最小项的对偶式是最大项D .任意两个最小项mi 和mj(i≠j)的乘积恒等于1”7.P49倒数第1行 “二极管VD 导通,相当于开关合上,输出低电平,u o =U OL =1V ”改为“二极管VD 导通,相当于开关合上,输出低电平,设VD 的导通电压, 0.7D U V ,则u o =U OL =1V ”8. P55第5行“当0.6V<u I <1.3V 时,由于输入的提高,输入电流有一部分开始流入VT 2的基极,使VT 2进入放大状态,”改为“当0.6V<u I <1.3V 时,VT 2开始导通,进入放大状态”9.P58倒数第6行“u O =U OLMAX ”改为“U OLMAX =0.4V 时” 9.P59第5行“u O =U OHMIN ”改为“U OHMIN =2.0V 时” 10. P59图4.3.10(b)改为 11. P61图4.3.14(b )中0.3V 改为2.4V :12. P68倒数第2行“当C =0和C =1时,试分别说明在下列情况下,万用表的读数?”改为“当C =0和C =1时,万用表的读数?”13. P69第2行“万用表的读数为1V-0.7V=0.3V ” 改为“万用表的读数为1V-0.7V=0.3V ,u o =3.6V ”mA/OH u 51014. P69第4行“万用表的读数为2.1V-0.7V=1.4V ,如图4.3.25(b)所示” 改为“万用表的读数为2.1V-0.7V=1.4V ,u o =0.3V ,如图4.3.25(b)所示”15. P74图4.4.6(a)中少画了一个节点:15. P79倒数第4行“TTL 门电路输入端悬空相当于高电平,CMOS 门电路不允许输入端悬空,因输入电阻大,栅极电容上的感应电荷不易泄放,会造成输出状态不定。
哈工大数电2000-2021打印
哈工大数电2000-2021打印哈尔滨工业大学硕士研究生入学考试试题二OOO 年数字逻辑电路试题一、回答下列各题(每小题5分,共25分) 1 写出图1所示电路的逻辑表达式。
A B Rc Rb 图1+Ec T �� FCP D1 Q1 D2 Q2 D3 Q3 D4 Q4 C D 图22 移位寄存器型计数器如图2所示,若起始状态为Q1Q2Q3Q4=0001,请写出从Q4输出一个周期的m序列。
3 画出下图电路在CP和D的作用下,Q1、Q2的输出波形,初态为00。
Sd Rd Sd Rd二、由8选1数据选择器CT4151构成的电路如下图所示,请写出该电路输出函数Y的逻辑表达式,以最小项之和(Σm(┅,┅, ))形式表示。
如果要实现逻辑函数Y=Σm(1,2,5,7,8,10,14,15),则图中接线应怎样改动?(10分)三、某大厅有一盏灯和分布在不同位置的四个开关(A、B、C、D)。
试利用四选一数据选择器为大厅设计一个电灯开关控制逻辑电路,使得人们可以在大厅的任何一个位置控制灯的亮或灭。
例如:可以用A开关打开,然后用B(或C、D、A)开关熄灭。
(15分)CP四、脉冲分配电路一般由计数器和译F1码电路组成,试用D触发器和与F2 非门设计如图所示波形的脉冲分F3F4配器电路。
(15分) F5 五、试用JK触发器和门电路设计一自动售饮料机的时序控制电路。
其投币口每次只能投入一枚5角或1元的硬币,投入1.5元硬币后,自动售货机给出一瓶饮料。
如投入两元硬币,则在给出一瓶饮料的同时,退出一枚5角硬币。
(20分)要求:1 状态设置合理,状态图标注清楚; 2 画出逻辑电路图;3 检验自启动。
39哈尔滨工业大学硕士研究生入学考试试题二OO一年数字逻辑电路试题一、解答下列各题(每小题5分,共25分)1. 将D触发器转换成JK触发器,画出逻辑电路图。
2. 试画出111序列检测器的状态图,当连续输入三个1时输出为1,否则输出为0。
哈工大数字信号处理试题2012-B含答案
k WN
B.按时间抽取 D.两者都不是
1
C.两者都是
6
如图所示的系统,则系统的频率响应呈(
x(n) -0.85 z-1 -1 y(n)
B
) 。
B.高通特性 D.全通特性
A.低通特性 C.带通特性
7
已知某 FIR 滤波器单位抽样响应 h( n) 的长度为 ( M 1) , 则在下列不同特
A 巴 椭圆
二、填空题(每题 2 分,共 10 分)
得分
2 1、 x(n) cos(0 n) 中仅包含频率为 0 的信号, y (n) x (n n0 ) 中包含的频率
第 2 页 (共 8 页)
为
2 0
。
2 、 X [ k ], 0 k 7 是 序 列 x[n] { - 5, 7, - 2, 3, 6, -1, 3, 1} 的 8 点 DFT 。 则
取等号计算,则有:
(1) :1 [400tg ( / 80) / c ]2 N 100.3 ,
得: N
(2) :1 [(400tg ( / 4) / c ]2 N 104
1 log[(10 4 1) /(10 0.3 1)] 1.42 2 log[1 / tg ( / 80)]
哈尔滨工业大学(威海)2012/ 2013 学年 秋 季学期
数字信号处理
考试形式(开、闭卷) : 闭卷 题 号 分 数
试题卷(B)
答题时间:105 分钟) 本卷面成绩占课程成绩 70 % 卷 面 平 时 成 绩 课 程 总 成 绩
一
二
三
四
五
六
七
八 总 分
一、选择题 (每题 2 分,共 20 分)
哈工大数字电路大作业
班级:学号:姓名:一、利用Verilog HDL设计一个电路,对输入的一串二进制数,用于检测序列中连续3个或者3个以上的1,状态转换图如图所示。
状态转换表如图所示由题目要求可知,选择Moore型状态机实现功能。
通过quartusII 仿真验证功能。
源程序如下:module zuoye_1(clk,din,op);input clk,din;output op;reg[1:0]current_state,next_state;reg op;parameter S0=2'b00,S1=2'b01,S2=2'b10,S3=2'b11;always@(posedge clk)begincurrent_state <= next_state;endalways@(current_state or din)begincase(current_state)S0: beginop=0;if(din==0)next_state=S0;elsenext_state=S1;endS1: beginop=0;if(din==0)next_state=S0;elsenext_state=S2;endS2: beginop=0;if(din==0)next_state=S0;elsenext_state=S3;endS3: beginop=1;if(din==0)next_state=S0;elsenext_state=S3;enddefault:beginop=0;next_state=S0;endendcaseendendmodule编写激励文件如下:预置输入为:1001101110111101111100001101110`timescale 1 ns/ 1 psmodule cj_1_vlg_tst();reg clk;reg din;wire op;cj_1 i1 (.clk(clk),.din(din),.op(op));initialbeginclk=0;din=1; 1001101110111101111100001101110 #1 din=0;#2 din=1;#2 din=0;#1 din=1;#3 din=0;#1 din=1;#4 din=0;#1 din=1;#5 din=0;#1 din=0;#1 din=0;#2 din=1;#2 din=0;#1 din=1;#3 din=0;#1 $stop();$display("Running testbench");endalwaysbegin#(0.5) clk=~clk;endendmodule以下为仿真逻辑原理图:RTL VIEWERTechnology map viewer(mapping)Technology map viewer(fitting)以下为仿真波形图:。
哈工大[827]电路与电子技术考试大纲
2012年硕士研究生入学考试大纲考试科目名称:电路与数字电子技术考试科目代码:[827]一、考试要求要求考生全面系统地掌握电路和数字电子技术的基本概念及基本定律,并且能灵活运用,具备较强的分析、设计与解决电路与数字电子电路问题的能力。
二、考试内容(一)电路部分(60%)1 直流电路(1)基本概念和电路元件:参考方向,电功率和电能量,基尔霍夫定律。
电阻、电容、电感、电压源、电流源和受控源,理想变压器、互感等元件的特性及其电压电流关系。
(2)星-三角等效变换,支路电流法,回路电流法,节点电压法。
(3)叠加定理,齐性定理,戴维南和诺顿定理,最大功率传输定理,特勒根定理。
2 交流电路(1)正弦电路中有效值、瞬时值,正弦量的相量,相量图,电路元件电压电流关系的相量形式、阻抗和导纳,正弦电流电路的分析计算,含互感电路的计算(互感电压、同名端、串联、并联、互感消去),有功功率、无功功率和复功率,功率表的使用,最大功率传输定理。
(2)非正弦周期量的有效值,平均功率, 非正弦周期电流电路的计算。
(3)串联谐振和并联谐振条件、特点,谐振电路的分析计算。
(4)三相电路的连接方式,对称三相电路线电压、线电流、相电压、相电流及功率的计算, 简单不对称三相电路的计算。
3 暂态电路(1)线性电路的时域分析:换路定则,阶跃函数和冲激函数,零输入响应,零状态响应和全响应,用三要素法求一阶电路的全响应,一阶电路的冲激响应的计算。
(2)线性电路的复频域分析:复频域中的电路模型,用拉氏变换求解电路暂态过程,复频域中的网络函数。
4 二端口网络:(1)二端口网络四种参数(阻抗、导纳、传输、混合)方程的计算(2)二端口网络的T型和 型等效电路,二端口网络的联接。
(3)二端口网络(包括有载二端口、有源二端口)端口电压、电流的计算。
(二)数字电子技术部分(40%)1 逻辑代数基础(1)逻辑代数中的基本概念:逻辑变量、逻辑运算和逻辑函数等;(2)逻辑代数的基本定律、形式定理和基本规则;(3)最小项与最大项的定义和性质;(4)逻辑函数的逻辑式、真值表、逻辑图和卡诺图表示法;(5)采用代数法和卡诺图法化简逻辑函数。
哈工大数电大作业
哈工大数电大作业————————————————————————————————作者:————————————————————————————————日期:H a r b i n I n s t i t u t e o f T e c h n o l o g y数字电子技术基础大作业课程名称:数字电子技术基础设计题目:血型与状态机院系:班级:设计者:学号:哈尔滨工业大学血型逻辑电路设计一实验目的1.掌握采用可编程逻辑器件实现数字电路与系统的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方法。
二设计要求1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。
三电路图1.电路模块图(简化)应用:2.内部电路组成(简化)四 编程1.源程序module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi);input N;input P;input Q;input clk;output E;output[3:0] F;output[3:0] G;output[7:0] OUT;output[3:0] CTL;reg E;reg[3:0] F;reg[3:0] G;reg[7:0] OUT;reg[7:0] OUT1;reg[7:0] OUT2;reg[7:0] OUT3;reg[7:0] OUT4;reg[3:0] CTL=4'b1110;output bi;reg bi;integer clk_cnt;reg clk_400Hz;always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000)beginclk_cnt <= 1'b0;clk_400Hz <= ~clk_400Hz;endelseclk_cnt <= clk_cnt + 1'b1;//位控制reg clk_1Hz;integer clk_1Hz_cnt; //1Hz发声信号always @(posedge clk)if(clk_1Hz_cnt==32'd2*******-1)beginclk_1Hz_cnt <= 1'b0;clk_1Hz <= ~clk_1Hz;endelseclk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz)CTL <= {CTL[2:0],CTL[3]};//段控制always @(CTL)OUT=OUT1;4'b1011:OUT=OUT2;4'b1101:OUT=OUT3;4'b1110:OUT=OUT4;default:OUT=4'hf;endcasealways @(M or N or P or Q)beginE=(P&Q)|(~M&~N)|(~M&Q)|(~N&P); //选择能否输血case(E)1:beginOUT1=8'b10001001;OUT2=8'b01100001;OUT3=8'b01001001;OUT4=8'b11111111;bi=clk_400Hz;end0:beginOUT1=8'b00010011;OUT2=8'b00000011;OUT3=8'b11111111;OUT4=8'b11111111;bi=clk_1Hz;endendcaseendalways @(M or N or P or Q) //显示输入输出血型beginif(M==1&&N==0)F=4'b1000;else if(M==0&&N==1)F=4'b0100;else if(M==1&&N==1)F=4'b0010;elseF=4'b0001;endalways @(M or N or P or Q) //显示输入输出血型beginelse if(P==0&&Q==1)G=4'b0100;else if(P==1&&Q==1)G=4'b0010;elseG=4'b0001;endendmodule2.管脚定义程序NET "M" LOC=N3;NET "N" LOC=E2;NET "P" LOC=L3;NET "Q" LOC=P11;NET "E" LOC=B2;NET "OUT[7]" LOC = L14;NET "OUT[6]" LOC = H12;NET "OUT[5]" LOC = N14;NET "OUT[4]" LOC = N11;NET "OUT[3]" LOC = P12;NET "OUT[2]" LOC = L13;NET "OUT[1]" LOC = M12;NET "OUT[0]" LOC = N13;NET "CTL[3]" LOC = K14;NET "CTL[2]" LOC = M13;NET "CTL[1]" LOC = J12;NET "CTL[0]" LOC = F12;NET "clk" LOC=B8;NET "F[3]" LOC=G1;NET "F[2]" LOC=P4;NET "F[1]" LOC=N4;NET "F[0]" LOC=N5;NET "G[3]" LOC=P6;NET "G[2]" LOC=P7;NET "G[1]" LOC=M11;NET "G[0]" LOC=M5;NET "bi" LOC=B6;五仿真图六下载设计到BASYS2开发板与实物图实物图:附:程序流程:1.基本电路设计表示O型。
哈工大2012年数电期末试题 答案概要
哈工大 2012 年 秋 季学期数字电子技术基础(A)试 题一、(12分)填空和选择(每空1分)(1)进制为一千的计数器至少应使用_________个触发器实现。
(2)集电极开路门使用时应注意在输出端接_______________。
(3)32选1数据选择器有____________个选择变量。
(4)函数式Y =+AB BCD ,写出其对偶式Y '=_______________________。
(5)相同供电电源的CMOS 门电路与TTL 门电路相比,_________________门的噪声容限更大;_________________门的静态功耗更低。
(6)模数转换时,要求能分辨ADC 输入满量程0.1%的变化,则至少需要使用____________位的ADC 。
若信号频率为20kHz ,则要求该ADC 采样频率至少为____________kHz 。
(7)由与非门构成的基本RS 触发器,其约束条件是__________________________。
(8)下列器件的信号一定不能和其他输出信号接在一起的是______________。
(a )RAM 的数据信号;(b )ROM 的数据信号; (c )74LS138的输出信号。
(9)下列说法正确的是____________________。
(a )输入悬空时,TTL 门电路的输入端相当于接低电平; (b )输入悬空时,CMOS 门电路的输入端相当于接低电平; (c )输入悬空时,CMOS 门电路的输入端相当于接高电平; (d )实际应用中,门电路的输入端应尽量避免悬空。
(10)用万用表测量一个标准TTL 门电路的输出信号,发现其值为1.5V ,可能的情况有(多选):______________________________________。
(a )输出端处于高阻态; (b )两输出信号短接; (c )输出为脉冲信号; (d )驱动门过载。
哈工大数字电子技术第7章习题
第7章 时序逻辑电路【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。
(1) 写出电路的状态方程和输出方程。
(2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。
(3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。
1J 1KC11J 1KC1Q 1Q 2CPXZ1图7.1【7-2】电路如图7.2所示,假设初始状态QaQbQc=000。
(1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。
(2) 试分析该电路构成的是几进制的计数器。
Q c图7.2【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q【7-4】电路如图7.4(a)所示,假设初始状态Q2Q1Q0=000。
1. 试分析由FF1和FF0构成的是几进制计数器;2. 说明整个电路为几进制计数器。
列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。
1J 1KC11J 1KC11J 1KC1CPFF1FF2FF0CPQ 0Q 2Q 1(a) (b)图7.4【7-5】某移位寄存器型计数器的状态转换表如表7.5所示。
请在图7.5中完成该计数器的逻辑图,可以增加必要的门电路。
要求:写出求解步骤、画出完整的状态转换图。
(Q3为高位)图7.5【7-6】在图7.6(a)所示电路中,由D 触发器构成的六位移位寄存器输出Q 6Q 5Q 4Q 3Q 2Q 1的初态为010100,触发器FF 的初态为0,串行输入端D SR =0。
请在图7.6 (b)中画出A 、Q 及B 的波形。
CPCP(a)(b)图7.6【7-7】分析图7.7所示电路,说明它们是多少进制计数器?Q D 74LS161RCO Q C Q B Q A ET EP D C B A CR LDCPCP111(a) (b)图7.7【7-8】分析图7.8所示电路的工作过程1. 画出对应CP 的输出Q a Q d Q c Q b 的波形和状态转换图(采用二进制码的形式、 Q a 为高位)。
哈工大数电习题册答案
9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若 X+Y=X+Z,则 Y=Z;( × ) (2) 若 XY=XZ,则 Y=Z;( × ) (3) 若 X Y=X Z,则 Y=Z;(√ ) 【3-2】用代数法化简下列各式 (1) F1 = ABC AB 1 (2) F2 = ABCD ABD ACD AD
【4-7】已知 TTL 逻辑门 UoH=3V,UoL=0.3V,阈值电平 UT=1.4V,试求图 4.9 电路中各电压 表的读数。 解: 电压表读数 V1=1.4V,V2=1.4V,V3=0.3V,V4=3V,V5=0.3V。
3.6 V
0. 3 V 3. 6 V
1.4V
V1
V2 1.4V
V3
0.3V
A B C F
A B C
(a) 图 4.7 (b)
解: 当 C 1 时, F AB ; 当 C 0 时, F A B A B 。 于是,逻辑表达式 F ABC ( A B )C F 的波形见解图所示。
A B C F
【4-6】图 4.8 所示电路中 G1 为 TTL 三态门,G2 为 TTL 与非门,万用表的内阻 20kΩ/V, 量程 5V。当 C=1 或 C=0 以及 S 通或断等不同情况下,UO1 和 UO2 的电位各是多少?请填入 表中,如果 G2 的悬空的输入端改接至 0.3V,上述结果将有何变化?
A B C F
【4-9】由 CMOS 传输门和反相器构成的电路如图 4.11(a)所示,试画出在图(b)波形作用下的 输出 UO 的波形(UI1=10V UI2=5V)
C
U I1 Uo 10V O UO
TG
哈工大数字电路大作业2
哈工大数字电路大作业2数电大作业(二)1120410104 周胜阳一、题目要求题目:利用Verilog HDL设计一个逻辑控制电路,用于对某备进行模式切换控制,设备模式共三种,控制方式为:a) 直通模式:高电平为直通有效,接地为直通无效;b) 跳频模式:接地为跳频模式有效,高电平跳频无效;c) 调谐模式:接地为调谐模式有效,高电平调谐无效。
设备的同一时刻只有且必须有一个模式有效,其他两个无效。
为防止同时多个模式有效,模式切换之间应有较小的延时,利用按键作为三种模式切换输入(按键最好有消除抖动的措施)二、设计思路1、整体思路:本题可以视为一个Moore型状态机。
通过op的位数控制直通、调谐、调频模式的转换。
当选择直通模式时,op 为100;选择调频模式时,op为001;选择调谐模式时,op 为010。
2、按键消抖:在检测出键闭合后执行一个延时程序,5ms~10ms的延时,让前沿抖动消失后再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有键按下。
当检测到按键释放后,也要给5ms~10ms的延时,待后沿抖动消失后才能转入该键的处理程序。
本题中,我们将延时设为3个时钟周期三、程序设计1.代码:module zsy2(clk,anjian,out);input clk,anjian;output [2:0] out;reg [2:0] out;reg [2:0] mode1=3'b111,mode0=3'b111;wire anjian_done; //按键触发parameter D=3'b010,Z=3'b100,T=3'b001,; //定义了三个模式Z为直通,T为跳频,D为调谐reg shake1,shake2,shake3; //定义消抖用的三个变量always@(posedge clk) //上升沿触发beginshake1<=anjian;shake2<=shake1;shake3<=shake2;mode1=mode0; //这个模块用于消抖,程序来源于百度out=mode1;endassign anjian_done = (shake1 | shake2 | shake3);always@(anjian_done)begin //下面的部分就是一个状态机,和作业1一样。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电路大作业题目
说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。
题目1:电子密码锁的设计
[设计要求]
(1)设计一个开锁密码至少为4位数字(或更多)的密码锁。
(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿
灯亮、红灯灭表示开锁状态。
(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。
(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。
注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等)
题目2:乒乓球比赛模拟机的设计
乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。
[设计要求]
(1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”
的移动速度可以调节。
(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,
表示未击中或违规,则对方得一分。
(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。
(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。
(5)能显示发球次数。
注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等)
题目3:液体点滴速度监控装置的设计
设计医用点滴速度自动控制装置。
假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。
[设计要求]
(1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。
(2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。
(3)点滴速度的设定范围为20~160滴/分钟
(4)能显示当前点滴速度。
(5)液体停滴时能发出报警。
注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等)
题目4:象棋快棋赛电子裁判计时器的设计
说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。
[设计要求]
(1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值
均为三分钟,采用倒计时方式。
通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。
同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。
(2)超时能发出报警判负。
(3)累计时间设置可以改变。
注:附加功能根据本人能力自行添加
题目5:十字路口交通管理控制器的设计
任务说明:在主、支道路的十字路口分别设置三色灯控制器,红灯亮禁止通行,绿灯亮允许通行,黄灯亮要求压线车辆快速穿越。
根据车流状况不同,可调整三色灯点亮或关闭时间。
设计要求:
(1) 主道路绿、黄、红灯亮的时间分别为60秒、5秒、25秒;次道路绿、黄、红灯亮的时间分别为20秒、5秒、65秒;
(2) 主、次道路时间指示采用倒计时制,用2位数码管显示。
(3) 主、次道路绿、黄、红灯亮的时间可以预置;
(4) 主、次道路绿、黄、红灯亮的时间可以分别调整;
注:附加功能根据本人能力自行添加。