序列检测器的一种简化实现算法

合集下载

实验三用状态机实现序列检测器的设计方案

实验三用状态机实现序列检测器的设计方案

实验三用状态机实现序列检测器的设计一、实验目的:用状态机实现序列检测器的设计,并对其进行仿真和硬件测试。

二、原理说明:序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出a,否则输出b。

由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。

在检测过程中,任何一位不相等都将回到初始状态重新开始检测。

例3-1描述的电路完成对序列数"11100101"的。

当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相同,则输出“a”,否则仍然输出“b”。

【例3-1】//顶层文件:module XULIEQI(clk,reset,din8,LED7S)。

input clk。

input reset。

input [7:0] din8。

output [6:0] LED7S。

wire [3:0] AB。

xulie u1 (clk, din8, reset, din)。

schk u2 (din,clk,reset,AB)。

decled7s u3 (AB,LED7S)。

endmodule//前端预置8位数据输入:module xulie(clk, din8, reset, din)。

input clk。

input[7:0] din8。

output din。

parameters0 = 3'b000,s1 = 3'b001,s2 = 3'b010,s3 = 3'b011,s4 = 3'b100,s5 = 3'b101,s6 = 3'b110,s7 = 3'b111。

reg[2:0] cur_state,next_state。

reg din。

序列信号检测器的设计与实现 实验报告

序列信号检测器的设计与实现 实验报告

数字电路与逻辑设计实验实验名称:序列信号检测器的设计与实现学院: 信息与通信工程学院班级: xxxxxxxxxx学号: xxxxxxxxxx班内序号: xx姓名大学霸一、实验课题序列信号检测器的设计与实现二、实验任务及设计要求(1) 熟悉用VHDL语言设计时序逻辑电路的方法。

(2) 熟悉序列信号检测器的设计方法(3) 了解状态机的设计方法用VHDL语言设计实现一个序列信号检测器,当检测到“101”时,输出为“1”;其他情况时,输出为“0”,仿真验证其功能,并下载到实验板测试。

三、设计思路与过程实验需要4个端口,时钟输入clk,数据输入d_in,输出f。

根据老师的要求后面还加入了时钟显示clk_out来保证数据输入在时钟上升沿之前1、设计思路序列检测器有输入信号d_in和输出信号f。

输入输出的的逻辑关系为:当外部输入x第一个为“1”,外部输出Z为“0”;当外部输入x第二个为“0”,外部输出Z为“0”;当外部输入x第三个为“1”,外部输出Z才为“1”。

要判断输入序列中的一段是否为“101”,电路需要用不同的状态来标记。

假设电路的初始状态A,d_in输入第一个“1”,检测器状态由A转换到B,B代表101序列中的第一个“1”,输出为f=0,如果之后继续输入“1”还会保持在这个状态;d_in输入“0”,检测器由B转换到C,C代表101序列中的“0”,输出f=0;d_in输入第三个值“1”时检测到完整的101序列,输出f=1,同时因为输入为“1”,状态由C又转换回B;如果d_in输入第三个值为“0”,状态由C退回到初始状态A,输出f=0。

以上为序列检测器的功能分析。

由此可以画出序列检测器的状态图状态表如下:2、实验过程(1) 用计算机QuartusII 9.0软件新建工程,新建VHDL,写入程序代码,运行调试直至编译成功。

(2) 新建波形仿真软件,设置endtime,输入输出信号,运行,观察仿真结果确认无误。

二进制序列检测器工作原理

二进制序列检测器工作原理

二进制序列检测器工作原理一、概述在计算机科学中,机器可以理解的最小单位是二进制数,因此计算机需要一个可靠的方式来检查二进制序列是否正确。

在这里,我们将介绍二进制序列检测器的工作原理和应用。

二、二进制序列检测器的定义二进制序列检测器是一种电子设备,它可以对输入的数据流进行检查,以便确定该数据流是否符合规定的位模式。

三、二进制序列检测器的工作原理二进制序列检测器通常具有以下组件:1.输入接口:用于将数据流传送到二进制序列检测器。

2.缓存器:用于缓存数据流,以便在不干扰数据流的情况下进行检测。

3.比较器:负责将缓存器中的数据与规定的位模式进行比较。

4.输出接口:通过该接口向用户返回比较结果。

当数据流到达二进制序列检测器时,它首先由输入接口接收并缓存在缓存器中。

一旦有一定数量的数据被缓存,比较器将会开始对缓存器中的数据进行比较,并将结果存储在输出接口中。

在二进制序列检测器中,比较器通常采用硬件实现来提高检测效率。

比较器将从规定的位模式中读取数据,并与缓存器中的数据进行比较。

如果规定的位模式与缓存器中的数据完全匹配,则比较器会向输出接口发送信号报告检测成功,否则返回检测失败。

四、二进制序列检测器的应用二进制序列检测器在计算机科学中有着广泛的应用,包括以下几个方面:1.数据通信:在数据通信中,二进制序列检测器可用于检查网络包,以确保数据包是否已完整传输。

2.计算机安全:在计算机安全中,二进制序列检测器可用于检查病毒和恶意软件,以保护计算机和网络免受攻击。

3.硬件检测:在硬件检测中,二进制序列检测器可用于检查数据总线,以确保硬件设备正常工作。

4.电子设计:在电子设计中,二进制序列检测器可用于验证模拟数据,并确保模拟数据与设计规范相符合。

五、结论二进制序列检测器是一种重要的电子设备,它可以在许多领域中确保数据的完整性和准确性。

通过对数据流进行缓存、比较和输出,二进制序列检测器能够快速、可靠地检测输入的二进制序列是否符合规定的位模式。

序列检测器原理

序列检测器原理

序列检测器原理
序列检测器是一种用来检测和识别输入序列中特定模式的设备或算法。

它能够根据事先给定的规则或模型对输入序列进行分析和判断,并输出相应的结果或响应。

序列检测器通常由以下几个组成部分构成:
1. 输入接口:用于接收输入序列的信号或数据。

2. 存储器:存储检测器的状态信息和输入序列的历史数据。

3. 状态机:用于根据输入序列的不同模式进行状态转换和控制。

4. 判决逻辑:根据当前状态和输入序列的特征,判断当前模式是否匹配。

5. 输出接口:根据判决的结果,输出相应的响应或结果。

序列检测器的工作原理如下:
1. 初始化:将序列检测器的状态设为初始状态,准备接收输入序列。

2. 接收输入:逐个接收输入序列的信号或数据。

3. 状态转换:根据当前状态和输入序列的特征,根据事先设定好的规则或模型进行状态转换。

4. 判决匹配:根据当前状态和输入序列的特征,判断当前模式是否匹配。

5. 输出结果:根据判决的结果,输出相应的响应或结果。

6. 循环操作:重复执行2-5步骤,直至所有的输入序列被处理完毕。

通过以上的工作原理,序列检测器可以有效地检测和识别输入序列中的特定模式。

它在许多应用中都有广泛的应用,如通信领域中的错误检测、模式识别等。

实验三 序列信号检测器的设计

实验三  序列信号检测器的设计

实验三序列信号检测器的设计一、实验目的:1、理解序列信号检测器的工作原理;2、掌握原理图和文本输入的混合设计输入方法;3、理解状态划分;4、掌握状态机程序的编写方法;二、实验原理:序列检测器是时序数字电路中非常常见的设计之一。

它的主要功能是:将一个指定的序列从数字码流中识别出来。

接下来的设计就是针对“011”这个序列的检测器。

设input为数字码流输入,output为检出标记输出,高电平表示“发现指定序列”,低电平表示“没有发现指定的序列”。

设输入的码流为“001101101111011111...”,在时钟2~4中,码流input里出现指定序列“011”,对应输出output在第4个时钟变为高电平“1”,表示发现指定"011”,以此类推。

序列发生器模块采用的是M(n=4)序列发生器,详细可以参考《EDA技术与应用》一书的4.4团队协作及逻辑锁定。

三、实验内容(1)最终的顶层设计原理图如下:左侧的四个D触发器组成的电路为M序列信号发生器模块,它可以产生15位随机的二进制码流。

发生器产生的二进制码流每隔15个时钟周期重复出现一次。

详细的可以在最终的时序仿真图中看出来。

右侧的get_str模块为检测模块,给予状态机的结构编写的,具体如下:library ieee;use ieee.std_logic_1164.all;entity get_ser isport(clk : in std_logic;input : in std_logic;reset : in std_logic;serout : out std_logic_vector(1 downto 0);output : out std_logic);end entity;architecture rtl of get_ser is-- Build an enumerated type for the state machine type state_type is (s0, s1, s2, s3);-- Register to hold the current statesignal state : state_type;beginserout <= ('0'&input);-- Logic to advance to the next stateprocess (clk, reset)beginif reset = '1' thenstate <= s0;elsif (rising_edge(clk)) thencase state iswhen s0=>if input = '0' thenstate <= s1;elsestate <= s0;end if;when s1=>if input = '1' thenstate <= s2;elsestate <= s1;end if;when s2=>if input = '1' thenstate <= s3;elsestate <= s1;end if;when s3 =>if input = '1' thenstate <= s0;elsestate <= s1;end if;end case;end if;end process;-- Output depends solely on the current state process (state)begincase state iswhen s0 =>output <= '0';when s1 =>output <= '0';when s2 =>output <= '0';when s3 =>output <= '1';end case;end process;end rtl;(2)理解状态机请根据上图对照get_str程序理解检测“011”序列的基本编程思路。

Verilog--序列检测器(采用移位寄存器实现)

Verilog--序列检测器(采用移位寄存器实现)

Verilog--序列检测器(采⽤移位寄存器实现)Verilog --序列检测器(采⽤移位寄存器实现)序列检测器就是将⼀个指定序列从数字码流中识别出来。

本例中将设计⼀个“10010”序列的检测器。

设X为数字码流的输⼊,Z为检测出标记输出,⾼电平表⽰发现指定的序列10010.考虑码流为110010010000100101这篇博客,才发现原来使⽤移位寄存器可以如此简单,原理图如下:基本思路就是利⽤移位寄存器作为检测窗⼝,每进来⼀个数就跟⽬标序列进⾏⽐较,简单粗暴。

下⾯贴⼀下原帖的代码:module seqdet(input wire x,input wire clk,input wire rst,output wire z,output reg [4:0] q);//reg [4:0] q;assign z = (q == 5'b10010) ? 1'b1:1'b0;always @ (posedge clk,negedge rst)if(!rst)q <= 5'd0;elseq <= {q[3:0],x};endmoduletestbench:`timescale 1ns/1nsmodule seqdet_tb;localparam T =20;reg clk,rst;reg [23:0] data;wire z,x;wire [4:0] q;assign x = data[23];initialbeginclk =0;rst =1;#2 rst =0;#30 rst =1;data =20'b1100_1001_0000_1001_0100;#(T*1000) $stop;endalways #T clk = ~clk;always @ (posedge clk)#2 data = {data[22:0],data[23]};seqdet U1(.x(x),.z(z),.clk(clk),.q(q),.rst(rst));endmodule由于移位寄存器的赋值是在always块中,故⽽相对实际延迟了⼀个clk.由上⾯的⽅针结果可知,输出z相对x晚了⼀个时钟周期,因为由于移位寄存器的赋值是在always块中,故⽽相对实际延迟了⼀个clk.Note:跟⽤状态机实现的区别在于,使⽤移位寄存器需要存储所有的码字,因此如果序列长度为N,则该⽅法需要消耗的寄存器就是N个。

实验三_用状态机实现序列检测器的设计

实验三_用状态机实现序列检测器的设计

实验三_用状态机实现序列检测器的设计引言:序列检测器是一类常用的电子设计电路,它在接收到特定的输入序列时,会产生特定的输出序列。

在许多应用场景中,如通信系统、数字信号处理和自动控制等领域,序列检测器都发挥着重要的作用。

本实验将利用状态机的概念,设计并实现一个简单的序列检测器。

一、序列检测器的设计原理序列检测器的设计原理基于状态机的思想。

状态机是一种抽象的计算模型,它由一组状态、一组输入和一组转移动作组成。

在序列检测器中,输入序列被连续地输入,状态也会根据输入进行不断变化。

当状态机检测到了预设的特定输入序列时,就会产生相应的输出序列。

二、序列检测器的设计步骤1.确定输入和输出序列:首先确定所需检测的输入序列和对应的输出序列,这将决定状态机的状态转移条件。

2.绘制状态转移图:根据输入和输出序列,绘制状态转移图,即用状态变量和状态转移条件表示状态转移关系。

3.设计状态机的状态转移表:根据状态转移图,将所有可能的状态转移关系整理为一个状态转移表。

4.实现状态机的代码逻辑:根据状态转移表,编写代码实现状态机的逻辑功能。

三、设计实例在本实验中,我们以一个简单示例为例,演示序列检测器的设计流程。

假设输入序列为0101,当检测到该输入序列时,输出序列为011.确定输入和输出序列:输入序列为0101,输出序列为012.绘制状态转移图:根据输入和输出序列,绘制状态转移图如下:0/00,S0,1/1/1说明:状态S0表示未检测到特定输入序列,状态S1表示检测到特定输入序列。

3.设计状态机的状态转移表:根据状态转移图,得到状态转移表如下:输当前状态,0,1S0,S0,S1S1,S0,S14.实现状态机的代码逻辑:根据状态转移表,编写代码实现状态机的逻辑功能,伪代码如下:if (当前状态 == S0)if (输入 == 0)当前状态=S0;输出=0;} else if (输入 == 1)当前状态=S1;输出=0;}} else if (当前状态 == S1)if (输入 == 0)当前状态=S0;输出=1;} else if (输入 == 1)当前状态=S1;输出=1;}}四、实验总结本实验利用状态机的思想,设计并实现了一个简单的序列检测器。

实验7序列发生器和检测器的设计与实现

实验7序列发生器和检测器的设计与实现

实验7序列发生器和检测器的设计与实现序列发生器和检测器是数字电路中非常重要的组成部分,用于生成和检测特定的序列模式。

本实验将设计和实现一个简单的序列发生器和检测器。

1.实验目的:-了解序列发生器和检测器的基本原理和实现方法;-掌握用基本门电路实现序列发生器和检测器的设计方法;-熟悉数字电路的设计流程和实验操作。

2.实验仪器和器件:-逻辑门IC(与、或、非门);-数字电路实验箱;-电源。

3.实验原理:-序列发生器是一种能够按照预定规律生成特定序列的电路,通常由多个逻辑门组成。

常见的序列发生器包括计数器、移位寄存器等。

-序列检测器是一种能够检测给定输入序列是否符合预定规律的电路,通常也由多个逻辑门组成。

常见的序列检测器包括状态机、比较器等。

4.实验步骤:1.根据设计要求,确定需要生成和检测的序列类型和规律。

2.设计序列发生器的电路,选择适当的逻辑门进行组合,以实现所需的序列模式。

3.搭建序列发生器电路,将所选逻辑门按照设计连接方式进行布线。

4.进行测试和调试,检查序列发生器是否按照设计要求生成所需的序列。

5.设计序列检测器的电路,选择适当的逻辑门进行组合,以实现对所需的序列模式的检测。

6.搭建序列检测器电路,将所选逻辑门按照设计连接方式进行布线。

可使用开关或其它电源来模拟序列输入。

7.进行测试和调试,检查序列检测器是否能够准确检测给定的输入序列是否符合预期。

5.实验注意事项:-严格按照设计要求进行电路设计和布线,确保连接正确。

-进行测试和调试时,先验证序列发生器的输出是否符合预期,再测试序列检测器的正确性。

-如遇到问题,请仔细检查电路连接是否正确,或寻求助教或教师的帮助。

6.实验结果分析:-比较生成的序列和检测的结果,验证电路的正确性和稳定性。

-如有误差或异常情况,分析可能原因,进行修正和改进。

7.实验总结:-通过本实验,我们了解了序列发生器和检测器的基本原理和实现方法。

-掌握了用基本门电路实现序列发生器和检测器的设计方法。

实验三用状态机实现序列检测器的设计

实验三用状态机实现序列检测器的设计
• 由processing->start compile对设计进行全编译 • 再由processing -> start simulation 进行时序
仿真,分析结果
4、锁引脚
1)根据DE2_pin_assignments文件内容、格式制作 本设计引脚对应文件的引脚锁定文件: XUELIEQI.csv
• 由Processing->generate functional simulation netlist,提取功能仿真的网表
• 由processing -> start simulation 进行功能仿 真,并对结果进行分析。
• 时序仿真
• 由assignments->settings,更改仿真器的设置为 时序仿真:timing
• 双击波形文件下的空白区,得到如下对话框,点 击Noder Finder
弹出下面的对话框,单击List,选中AB、CLK、CLR 、DIN、Q几个端口,单击>_后,点击OK
• 由edit->end time ,设定仿真终止时间为 1us, 选中CLK点击 设置周期是10ns,
并对CLR,DIN作相应设置
• 由assigments->settings,对仿真工具设定为功 能仿真,并将激励文件调入
• 由Processing->generate functional simulation netlist,提取功能仿真的网表
• 由processing -> start simulation 进行功能仿
实验三 用状态机实现序列检测器的设计
任务分析
• 本次实验的核心是:应用有限状态机设计思路, 检测输入的串行数据是否是”11100101”。

序列检索 剪枝算法

序列检索 剪枝算法

序列检索剪枝算法
序列检索是指在一组序列中查找特定序列的过程。

在很多实际应
用中,以及在机器学习和数据挖掘中,序列检索都是非常常见的问题。

然而,由于序列的数量很大,且搜索特定序列的复杂度很高,因此需
要使用剪枝算法来提高效率。

剪枝算法是一种在搜索中减少搜索空间的技术,其基本思想是根
据某些已知条件,排除一些不可能包含所需信息的部分,从而缩小搜
索的范围,减少搜索时间。

在序列检索中,剪枝算法可以通过比较特
定序列和已有序列的一些属性来判断特定序列是否存在,从而减少搜
索的次数和搜索的时间。

在实践中,剪枝算法有很多种,比如基于Pattern Growth的Apriori算法、基于封闭性的FP-Growth算法等等。

这些算法可以在不同的场景下实现不同的剪枝策略,从而提高搜索效率。

例如,Apriori
算法通过利用Apriori原则,每次只加入频繁项集的超集,来达到剪
枝的目的;而FP-Growth算法则是通过构建频繁模式树,减少了扫描
数据库的次数,从而加快了搜索速度。

综上所述,剪枝算法是序列检索中的一种重要技术,可以减少搜
索时间,提高搜索效率。

不同的剪枝策略可以根据具体的情况进行选择,以达到更好的效果。

101序列检测器原理

101序列检测器原理

101序列检测器原理你想啊,就像我们在生活里找东西一样,这个序列检测器呢,就是在一堆数字或者信号里找特定的“宝贝”,这个宝贝就是101这个序列啦。

那它到底是怎么做到的呢?这就像是一场超级有趣的寻宝游戏呢。

我们先得有个小“机关”,这个机关就是状态机啦。

状态机就像是一个有着不同状态的小机器人,它可以在不同的情况下做出不同的反应。

比如说,最开始的时候,它有个初始状态,就像是小机器人在休息,啥都还没开始找呢。

当它接收到第一个信号的时候,如果这个信号是1,那它就会进入一个新的状态,这个状态就像是小机器人开始警觉起来啦,觉得可能找到了宝贝的开头。

要是这个信号是0呢,那它就还在初始状态,就像小机器人打了个盹儿,觉得还不是时候。

然后呢,当它处于那个警觉状态的时候,如果下一个信号是0,那它就进入到一个中间状态啦,这个状态就像是小机器人在心里默默记着,已经有了个1,现在又有个0,离目标更近一步喽。

要是下一个信号是1呢,那它就得回到初始状态重新开始找啦,就像小机器人发现自己找错了路,得重新出发。

当它在中间状态的时候,如果下一个信号是1,哇塞,那就找到了101这个宝贝啦,小机器人就可以欢呼起来啦,就像我们找到了藏起来的小糖果一样开心。

要是下一个信号是0呢,那它又得回到初始状态重新开始找喽。

这个101序列检测器啊,在实际生活里用处可大啦。

比如说在数字通信里,就像是一个超级小侦探。

它能在那些乱乱的数字信号流里,把101这个特定的序列给找出来。

这就好比在一堆信件里,找到一封特别标记的信一样。

再想象一下,在电路里呢,它也像是一个聪明的小管家。

电路里有各种各样的信号在跑来跑去,101序列检测器就能把那些符合101序列的信号给挑出来,然后进行特殊的处理,就像小管家把特别的东西单独放到一个小盒子里一样。

而且哦,这个原理其实也没有那么难理解啦。

就像我们玩游戏的时候,有一定的规则,按照规则走就能达到目的。

101序列检测器也是按照它自己的小规则,在那些数字或者信号里游刃有余地找到目标。

序列信号检测器的设计与实现 数电实验报告

序列信号检测器的设计与实现   数电实验报告

数字电路与逻辑计实验报告序列信号检测器的设计与实现一、课题名称:序列信号检测器的设计与实现二、实验目的:(1)熟悉用VHDL语言设计时序逻辑电路的方法;(2)熟悉序列信号检测器的设计方法;(3)了解状态机的设计方法。

三、实验所用仪器及元器件:(1)计算机(2)直流稳压电源(3)数字系统与逻辑设计实验开发板四、实验内容:用VHDL语言设计实现一个序列信号检测器,当检测到“101”时,输出为“1”;其他情况时,输出为“0”,仿真验证其功能,并下载到实验板测试。

五、设计思路与过程:第1步,画出原始状态图和状态表。

根据任务书要求,设计的序列检测器有一个外部输入x和一个外部输出Z。

输入和输出的逻辑关系为:当外部输入x第一个为“1”,外部输出Z为“0”;当外部输入x第二个为“0”,外部输出Z为“0”;当外部输入x第三个为“1”,外部输出Z才为“1”。

假定有一个外部输入x序列以及外部输出Z为:输入x: 0 1 0 1 1 1 0 1 1 1 1 0 1输出Z: 0 0 0 1 0 0 0 1 0 0 0 0 1要判别序列检测器是否连续接收了“101”,电路必须用不同的状态记载外部输入x的值。

假设电路的初始状态为A,x输入第一个值“1”,检测器状态由A装换到B,用状态B记载检测器接受了101序列的第一个值“1”,这时外部输出Z=0;x输入“0”,检测器状态由B装换到C,用状态C记载检测器接受了101序列的第二个值“0”,外部输出Z=0;x输入第三个值“1”,检测器状态由C装换到D,外部输出Z=1。

然后再根据外部输入及其他情况时的状态转移,写出相应的输出。

以上分析了序列检测器工作,由此可画出图一所示的原始状态图。

根据原始状态图可列出原始状态表,如表一所示。

0/0图一原始状态图表一:原始状态表第2步,在对原状态表进行简化,从状态表就可以看出B 、D 两个状态为等价状态。

从而可得简化后的状态表表二和状态图图二:图二 简化状态图表二:简化后的状态表第3步,状态分配:给A 分配编码00,B 分配01,C 分配11,则可得状态转移表三:表三:简化后的状态转移表第4步,选择存储器的类型,确定存储电路的激励输入: 选择使用D 触发器来完成此任务。

3种二进制序列信号检测器的实现方案

3种二进制序列信号检测器的实现方案

3种二进制序列信号检测器的实现方案该检测检测电路可广泛用于日常生产、生活及军事。

在许多电子技术资料中也有一些序列信号信号检测电路的设计,但设计方法单一、扩展性不强。

下面通过实例来说明电路的3种设计方法。

设计任务:设计一个二进制二进制序列信号检测器,它有一个输入X,当接收到的序列为1001,则在上述序列输入最后一个1的同时,电路输出Z=1,否则输出为0,输入序列可以重叠。

例如:当输入X的序列为0100100101001(首位在左),对应输出Z=0000100100001。

1 用分立触发器设计触发器的种类很多,其中双端输入的JK触发器和单端输入的D触发器最具代表性。

由于用D触发器设计的电路更为简单,故采用它来设计电路。

1.1 逻辑抽象由于待检测的序列为1001,故设电路在一直输入0时的状态为S0,输入一个1以后的状态为S1,连续输入10以后的状态为S2,连续输入100后的状态为S3,连续输入1001后的状态为S4。

于是得到状态转换。

图1 状态转换图选取第1、3行解释其原理:S0表示接收到的是0,当在此基础上再接收到一个0后变为00,而需要检测的序列是1001,所以电路状态仍然停留在S0上;当电路在S0的基础上接收到1后表示接收到1001序列中的第一个1,于是电路状态转为S1。

同理S2表示已经接收到10,当在此基础上接收到0后变为100,电路转到S3,但是接收到1后则变为101,于是前面接收的两位代码失去作用,只有第3位的1可作为1001的第一位,所以电路状态转回S1。

通过观察状态转换表,可以发现,S1和S4在同样的输入下有同样的输出,而且状态转移后得到同样的次态。

因此它们是等价的可以合并,于是,状态转换表可以化简。

图2 化简后的转换表从物理概念上也不难理解这种情况。

当电路连续接收到1001后,输出为1,但序列可以重叠,故最后一个1可作为下一个1001序列的第一位,所以电路在连续接收到1001后的状态S4实际上就是S1。

序列检测器 实验报告

序列检测器 实验报告

序列检测器实验报告序列检测器是一种常见的信号处理技术,广泛应用于通信、雷达、生物医学和金融等领域。

本文将介绍序列检测器的原理、实验设计和实验结果,并对其应用进行讨论。

一、序列检测器的原理序列检测器是一种用于检测和识别输入信号序列的设备或算法。

它通过对输入信号进行观测和分析,判断信号是否符合特定的模式或规律。

常见的序列检测器包括有限状态机、卷积神经网络和隐马尔可夫模型等。

有限状态机是一种基本的序列检测器,它由一组状态和状态之间的转移规则组成。

在每个时刻,输入信号会触发状态之间的转移,最终达到一个终止状态。

通过定义状态和转移规则,可以实现对输入信号序列的检测和识别。

二、实验设计本实验旨在设计并实现一个简单的序列检测器,用于检测二进制信号序列中是否存在特定的模式。

实验使用MATLAB软件进行仿真,并基于有限状态机的原理进行设计。

1. 实验步骤(1)定义有限状态机的状态和转移规则;(2)生成一组随机的二进制信号序列作为输入;(3)根据状态和转移规则,对输入信号进行观测和分析;(4)判断输入信号是否符合特定的模式,并输出检测结果。

2. 实验参数为了简化实验设计,我们假设输入信号序列中的模式为"110"。

具体的状态和转移规则如下:(1)初始状态为S0;(2)当输入为"1"时,状态转移为S1;(3)当输入为"0"时,状态转移为S0;(4)当当前状态为S1且输入为"0"时,状态转移为S2;(5)当当前状态为S2且输入为"1"时,状态转移为S3;(6)当当前状态为S3且输入为"0"时,状态转移为S0。

三、实验结果经过实验设计和仿真,我们得到了以下实验结果:1. 输入信号序列:1011010110112. 检测结果:存在模式"110"通过对输入信号序列进行观测和分析,我们成功地检测到了模式"110"的存在。

实验五用状态机实现序列检测器的设计

实验五用状态机实现序列检测器的设计

实验五用状态机实现序列检测器的设计序列检测器是一种可以根据输入序列的模式检测和识别特定序列的设备或系统。

在计算机科学和电子工程中,状态机常常被用来实现序列检测器。

状态机是一种抽象的数学模型,具有有限数量的状态和状态转换函数。

本实验将通过使用状态机来实现一个序列检测器。

在序列检测器的设计中,我们需要定义输入序列的模式,并根据这个模式来设计状态机。

在这个例子中,我们将设计一个简单的序列检测器,用来检测输入序列是否包含连续的3个1首先,我们需要定义状态。

在本实验中,我们定义两个状态:初始状态和检测状态。

初始状态是状态机的起始状态,它等待输入序列的开始。

检测状态表示状态机已经开始检测输入序列,并且可能已经识别到了一部分符合要求的序列。

然后,我们需要定义状态转换函数。

状态转换函数描述了状态机在不同状态下如何根据输入来转换到其他状态。

在本实验中,我们定义以下状态转换函数:1.当输入位为0时,状态机保持在当前状态;2.当输入位为1且当前状态为初始状态时,状态机转换到检测状态;3.当输入位为1且当前状态为检测状态时,状态机保持在检测状态。

最后,我们需要确定终止状态。

在本实验中,当状态机识别到连续的3个1时,我们将状态机置于终止状态,并输出一个信号表明已经检测到了整个序列。

通过以上步骤,我们已经定义了一个简单的序列检测器的状态机。

下面是一个简单的状态机实现的示例代码:```#define INITIAL_STATE 0#define DETECTION_STATE 1#define TERMINATE_STATE 2int state = INITIAL_STATE;int count = 0;void detect_sequence(int input)switch(state)case INITIAL_STATE:if (input == 1)state = DETECTION_STATE;count = 1;}break;case DETECTION_STATE:if (input == 1)count++;if (count == 3)state = TERMINATE_STATE;output_signal(;}} elsestate = INITIAL_STATE;count = 0;}break;case TERMINATE_STATE:// do nothingbreak;}void output_signa// output signal here```在上述代码中,我们使用一个整型变量`state`来表示状态,一个整型变量`count`来表示检测到的连续1的数量。

实验八用状态机实现序列检测器的设计

实验八用状态机实现序列检测器的设计

实验八用状态机实现序列检测器的设计
1、实验目的
本实验旨在通过状态机的设计实现一个序列检测器,该序列检测器可
以检测01序列中可编程的模式,并实现相应的响应动作。

2、实验原理
序列检测器是一种有限状态机,由一系列状态和一系列触发器组成,
可以检测特定的序列,并拥有一定的驱动和响应动作。

根据所检测的序列,检测器通过触发器设置能够自动的转换到特定的状态,触发器的设置可以
根据需要进行调整,从而实现不同的序列和不同的响应动作。

3、实验内容
(1)状态机的设计
状态机的设计分为状态图设计和状态表设计两部分,在状态图设计中,绘制出起始状态、可能的转移状态以及相应的触发器, shown by figure 1 below . 再根据实验的要求,结合状态图和状态表,确定每一个触发器
的对应的转移状态以及响应动作, shown by table 1 below .
(2)用VHDL编程实现状态机
在VHDL中,可以实现上面的状态机,用自定义的类型定义状态、触
发器、响应动作以及转移条件,将状态图转换成可执行的VHDL代码,shown by listing 1 below .
(3)VHDL代码的仿真
在仿真中,可以根据状态图测试序列检测器的功能,确保能够检测出正确的模式,并实现预期的响应动作, shown by figure 2 below.
4、实验结果。

实验五用状态机实现序列检测器的设计

实验五用状态机实现序列检测器的设计

实验五用状态机实现序列检测器的设计
一、背景简介
序列检测器是用来检测输入字符串中是否存在特定序列的字符串检测器。

在现代的工业控制中,序列检测器的应用十分广泛,例如机器操作指令的检测,机器人的动作序列检测,机械手的加工步骤检测等等,它们可以用在几乎所有的自动控制系统中,既提高了系统的可靠性,又提高了系统的运行效率。

二、状态机实现序列检测器
1)概念介绍
状态机是一种形式语言,它用于模拟系统的状态和行为,并用来表示系统的变化,可以用于描述和分析控制系统的性能。

根据状态机的不同表示形式,可以分为状态转换表,状态转移图,状态转移矩阵,状态表和状态图。

采用状态机实现序列检测器,首先需要建立一个状态机图,状态机图需要有起点和终点,以及从一个状态到另一个状态的转移规则。

根据输入的字符串,每次转移到下一个状态,直到终止状态,如果在该过程中没有终止状态,或终止状态不是指定的终止状态,则检测字符串不包含特定的序列字符。

2)具体实现。

序列检测器的设计实验报告

序列检测器的设计实验报告

序列检测器的设计实验报告一、实验目的本次实验的目的是设计一个能够检测特定序列的数字逻辑电路,即序列检测器。

通过设计和实现这个电路,深入理解数字电路的基本原理和设计方法,掌握状态机的概念和应用,提高逻辑分析和电路设计的能力。

二、实验原理序列检测器是一种能够在输入数据流中检测特定序列的电路。

它通常由状态机实现,状态机根据输入的变化在不同的状态之间转移,并在特定的状态下输出检测结果。

以检测序列“1011”为例,我们可以定义以下几个状态:状态 S0:初始状态,等待输入。

状态 S1:接收到“1”,等待下一个输入。

状态 S2:接收到“10”,等待下一个输入。

状态 S3:接收到“101”,等待下一个输入。

状态 S4:接收到“1011”,输出检测成功信号。

根据状态转移和输出的规则,可以画出状态转移图,并根据状态转移图设计相应的逻辑电路。

三、实验设备与器材1、数字电路实验箱2、逻辑门芯片(如与门、或门、非门等)3、示波器4、电源四、实验步骤1、分析设计要求,确定状态转移和输出规则,画出状态转移图。

2、根据状态转移图,列出状态转换表,确定每个状态下的输入和输出。

3、使用卡诺图或其他逻辑化简方法,对状态转换表进行化简,得到最简的逻辑表达式。

4、根据逻辑表达式,选择合适的逻辑门芯片,在实验箱上搭建电路。

5、连接电源和示波器,对电路进行测试。

输入不同的序列,观察输出是否符合预期。

五、实验电路设计以下是检测序列“1011”的逻辑电路设计:状态变量定义:设当前状态为 Q1Q0,其中 Q1 为高位,Q0 为低位。

状态转移方程:Q1(n+1) = Q1Q0 + XQ1' (其中 X 为输入)Q0(n+1) = XQ0' + Q1Q0输出方程:Y = Q1Q0X根据上述方程,使用与门、或门和非门搭建电路。

六、实验结果与分析在实验中,输入了不同的序列,包括“1011”以及其他随机序列。

通过示波器观察输出,当输入序列为“1011”时,输出为高电平,表示检测成功;当输入其他序列时,输出为低电平,表示未检测到目标序列。

序列检测器的一种简化实现算法

序列检测器的一种简化实现算法

第n , 位 则外输出为 ‘’ 否则外输出为 ‘ ’ 其次态按如下规则选择 : 1, 0, 从初态开始输入的 n 位代码中如果其 中的后 n 位为被检序列的前 n 位 , 则次态为第 + 个状态 (= … .一 , 1 j l , 1找到次态即停止 )当jn , 2. n , =时
次 态为初 态.
入一个高电平. 由于采用时序线路 , 主串的内容应每给一个上升沿或下降沿输入一位 , 具体应视所选触发器
类 型而定 .
我们提出一种新硬件实现方法 , 在该方法中对每一个状态都根据实际意义给予特殊 的含义 , 具体含义在 后面的实例中再加以说明 , 由于不存在重复状态, 故最终的状态图不用化简. 序列检测器 的初态是指被检序列的第一位出现前 的特定状态 ,此状态后如果输入 的代码对检测有效 ( 即被测序列的第一位 )则相应次态为新的状态 ( 2 , 第 个状态 , 它记住 了被测序列的第一位 ) 否则相应次 , 态仍为初态. 2 第 个状态是指被检序列的第一位出现后 的特定状态 , 此状态后如果输入的代码对检测有效 , ( 即被测序列的第 2 ) 位 则相应次态为新的状态 ( 2 第 个状态 , 它记住了被测序列的前 2 ) 否则判断最近 位 , 输入 的代码是否是被检序列的第一位, 是则相应次态仍为第 2 个状态 , 否则相应次态为初态.以次类推 , i 第 个状态记住了被检序列的前 l , 位 相应次态确定方法如下 : 假设序列长度为 n in , , < 时 如果第 i 当 个状态后输入 的一位代码是被检序列的第 i , 位 则次态为新的状
维普资讯
石 家庄 学 院 学 报
20 0 6年 D触 发器设 计 “0 1 序 列检测 器 ( 11” 已检 内容可 以重 复利 用 ) 即在 随机输 入 的序列 中检测 是 否包 含 , 有 “0 1 如果 检测成 功 , 出 ‘’ 如 : 1 1”, 输 1 . 输入 “ 10 1 输 出 “00 1 设计 过程 如 下 : O 1 1”, 00 0 ”.

110序列检测器的设计及仿真实现

110序列检测器的设计及仿真实现

题目:设计110序列检测器,当输入信号尢-110时输出二T,否则0一、设计思路我们采用Moore机完成这个功能。

对于触发器的选择,为了简便我们选用D触发器以及基本的门电路完成基本设计。

二、时钟同步状态机1根据题目要求我们得到下面的状态图2转移输出表输出方程:Z QoQi 我们选择D 触发器作 为记忆电路部分由D 触发器的特征方 程:QD 得激励方程:D°=Q0QiQXDi X三、Verilog 程序女口下: module shiyan2 (elk, x, z); in put elk,x; output z;wire[1:0] state; wire[1:0] excite; nextlogic ul (x, state, excite);10 3状态图如图:00 01K-1通过卡诺图化简可得 转移方程:Qo 二QoQi QiXQiXstatememory u2(elk, excite, state); outputlogic u3 (state, z);endmodulemodule statememory (elk,d, q); input elk;inpd;output[1:0] q;reg[l:0] q;always @ (posedge elk) begin q <= d;endendmodulemodule nextlogic (x, q, d);input x; inpq; output[1:0] d;assign d[0] = (q[l]&q[0]) | (q[l]&x); assign d[l]=x;endmodulemodule outputlogic(q, z);in put[1:0]q;output z;assign z=(!q[l])&q[0];En dmodule四、仿真结果及电路图11得到功能仿真结果为:时序仿真结果为:pi 0阳〃■( f :q 2叮《 j60.0 Jis 120. 0 LS JEflOns 200. 0 ns « Cl u 渤门叽300 0 =1 JSO. Ons qo: 0 ns n J 匹qeo. 0 ■ 11— Ih AD .F^L L rn ru一一Lj~Ln— r r-uni利用程序生成的电路图为ne4f>gic:u1sta:eme^or/u2xjtputfogi::u3q[T. OHeg叩clkl >z从电路图和仿真结果来看这次的仿真能够完全达到题目的要求。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第8卷第6期石家庄学院学报Vol.8,No.62006年11月JournalofShijiazhuangUniversityNov.2006序列检测器的一种简化实现算法李俊红,解建军(河北师范大学数学与信息科学学院,石家庄050016)摘要:分析了序列检测器的内部原理,给出它的一种新硬件实现.利用它无需对状态图进行状态化简,极大地简化了时序线路的设计.最后结合具体实例说明了该设计思想的详细步骤和具体实现方法.关键词:子串;主串;序列检测器中图分类号:TP16文献标识码:A文章编号:1673-1972(2006)06-0063-031序列检测器原理序列检测是指将一个指定的序列从数字流中识别出来,或在主串中查询相应子串,一般可以通过软件方法或时序电路即硬件方法实现.有关软件实现方法的研究可参见文献[1],本文主要针对时序电路进行讨论.用硬件方法实现序列检测器时,检测器中存储模式串,主串可以通过输入端流入检测器[2,3].在主串的输入过程中,检测器可以动态检测子串.检测器利用时序线路记忆已检测出的有效序列,并与自身所含的模式串进行比对,若检测成功,输出端自动输出成功标记[4].设计一个“11100”序列检测器,当识别到一组序列时,输入一个高电平.由于采用时序线路,主串的内容应每给一个上升沿或下降沿输入一位,具体应视所选触发器类型而定.我们提出一种新硬件实现方法,在该方法中对每一个状态都根据实际意义给予特殊的含义,具体含义在后面的实例中再加以说明,由于不存在重复状态,故最终的状态图不用化简.序列检测器的初态是指被检序列的第一位出现前的特定状态,此状态后如果输入的代码对检测有效(即被测序列的第一位),则相应次态为新的状态(第2个状态,它记住了被测序列的第一位),否则相应次态仍为初态.第2个状态是指被检序列的第一位出现后的特定状态,此状态后如果输入的代码对检测有效,(即被测序列的第2位)则相应次态为新的状态(第2个状态,它记住了被测序列的前2位),否则判断最近输入的代码是否是被检序列的第一位,是则相应次态仍为第2个状态,否则相应次态为初态.以次类推,第i个状态记住了被检序列的前i-1位,相应次态确定方法如下:假设序列长度为n,当i<n时,如果第i个状态后输入的一位代码是被检序列的第i位,则次态为新的状态(记住了被检序列的前i位),否则次态按如下规则选择:从初态开始输入的i位代码中如果其中的后i-j位为被检序列的前i-j位,则次态为第i-j+1个状态(j=1,2,...,i-1,找到次态即停止),否则次态为初态.此时所有的外输出均为‘0’.当i=n时,第n个状态已经记住了被检序列的前n-1位,此状态后输入的一位代码如果是被检序列的第n位,则外输出为‘1’,否则外输出为‘0’,其次态按如下规则选择:从初态开始输入的n位代码中如果其中的后n-j位为被检序列的前n-j位,则次态为第i-j+1个状态(j=1,2,...,n-1,找到次态即停止),当j=n时,次态为初态.按上述方法构造的原始状态转移图中恰好含n个状态,且每个状态都有确定的含义,避免了其设计过程中,构造原始状态转移图繁杂,化简原始状态转移图麻烦的弊端,设计时既逻辑清晰,又不用化简,从而极大地简化了该类线路的设计.收稿日期:2005-12-09基金项目:河北省石家庄市科学研究与发展计划项目(05213570);河北师范大学青年基金资助(L2005Q02)作者简介:李俊红(1971-),女,山西运城人,河北师范大学数学与信息科学学院讲师,硕士,研究方向:并行逻辑模拟,计算机系统结构.图1原始状态转移图2序列检测器的构造用D触发器设计“1011”序列检测器(已检内容可以重复利用),即在随机输入的序列中检测是否包含有“1011”,如果检测成功,输出‘1’.如:输入“011011”,输出“000001”.设计过程如下:2.1构造原始状态转移图1)设A为初始状态,即开始接收输入的状态.若A状态后输入的是‘0’,因序列的第一个有效字符为‘1’,‘0’对检测“1011”无效,故检测器继续保持A状态.若A状态后输入的是‘1’,这恰好是序列的第一个有效字符,故检测器进入新状态B.2)B为接收了第一个有效输入‘1’以后的状态,即‘1’状态.若B状态后输入的是‘1’,即此时连续输入了“11”,这不是“1011”的前2位,故检测器不应进入新状态,但是第二个‘1’可以用来做“1011”的第一个有效‘1’状态,故检测器继续保持B状态.若B状态后输入的是‘0’,即此时连续输入了“10”,这恰好是“1011”的前2位,故检测器进入新状态C.3)C为在B状态的基础上又接收了第2个有效的输入‘0’以后的状态,即“10”状态.若C状态后输入的是‘1’,即此时连续输入了“101”,这恰好是“1011”的前3位,故检测器应进入新状态D.若C状态后输入的是‘0’,即此时连续输入了“100“,这不是“1011”的前3位,且后2位“00”也不是“1011”的前2位,最末位‘0’不是“1011”的第一位,即当前的3位输入“100”对检测序列“1011”无用,故检测器进入A状态,等待有效输入‘1’.4)D为在C状态的基础上又接收了第3个有效的输入‘1’以后的状态,即“101”状态.若D状态后输入的是‘1’,即此时连续输入了“1011”,这恰好是被检序列“1011”,即已检测成功,外输出为‘1’,又因为“011”,“11”均不是“1011”的前3位及前2位,而‘1’是“1011”的第一位,故检测器应进入B状态.若D状态后输入的是‘0’,即此时连续输入了“1000”,这不是“1011”,且后3位“000”,后2位“00”及末位‘0’也不是“1011”的前3位,前2位和第一位,即当前的输入“1000”对检测序列“1011”无用,故检测器进入A状态,等待有效输入‘1’.至此,无新状态产生,原始状态转移图构造完成,如图1所示.2.2状态编码上述4个状态含义均不相同,故无重复状态,不用化简,可直接编码.4个状态需两位编码,结果为:A:00,B:01,C:10,D:11.2.3状态表(如表1所示.其中一位外输入用x表示,一位外输出用z表示)2.4控制函数及输出函数表达式由表1知d1=Σm3(1,6)=xs1s0+xs1s0d0=Σm3(4,5,6,7)=xz=Σm3(7)=xs1s02.5电路图(如图2所示)3VHDL实现[5]3.1实体定义entitypaperisport(clk:instd_logic:data_in:inbit;data_out:outbit);endpaper;3.2主要实现casestateis注:1.箭头上方数字含义为输入/输出.2.检测成功时,输出为“1”,其他输出全部为“0”.石家庄学院学报2006年11月64李俊红,解建军:序列检测器的一种简化实现算法(下转128页)时钟(cp)输入(x)现态(s1s0)次态(s1n+1s0n+1)zd1d0↑↑↑↑↑↑↑↑0000111100011011000110110011000001011101000000010010000001011101图2电路图图3结果波形图表1状态表150.0ns300.0ns450.0ns0.0nsRef:Time:Interval:384.0ns384.0ns0.0nsdate_inclkdate_out000Name:Value:when"00"=>output<='0';ifinput='1'thenstate<=state+1;elsestate<=state;endif;when"01"=>output<='0';ifinput='1'thenstate<=state;elsestate<=state+1;endif;when"10"=>output<='0';ifinput='1'thenstate<=state+1;elsestate<="00";endif;when"11"=>ifinput='0'thenoutput<='0';state<="00";elseoutput<='1';state<="01";endif;endcase;3.3模拟结果图3为输入序列“011011”的模拟结果,输出为“000001”.4结论时序线路的设计中,最困难的是构造原始状态转移图,文中我们深入分析了可重复利用代码序列检测器的特点,给出了一种简单有效的构图方法,使得原始图只包含必须的n个状态,省去了化简过程.该方法同样可用于构造不可重复利用代码序列检测器的原始状态转移图.第6期65(上接第65页)参考文献[1]严蔚敏,吴伟民.数据结构(C语言版)[M].北京:清华大学出版社,2000.[2]王尔乾,巴林凤.数字逻辑及数字集成电路[M].北京:清华大学出版社,2000.[3]王玉龙.数字逻辑[M].北京:高等教育出版社,1999.[4]李大友,严化南,顾喜隆.数字电路逻辑设计[M].北京:清华大学出版社,1999.[5]曾繁泰,陈美金.VHDL程序设计[M].北京:清华大学出版社,2001.(责任编辑张元静)ASimplifiedAlgorithmforSequentialDetectorLIJun-hong,XIEJian-jun(CollegeofMathematics&InformationScience,HebeiNormalUniversity,Shijiazhuang050016,China)Abstract:Inthispaper,theinternalprincipleofsequentialdetectorisanalyzedandanewhardwareimplementationispresented.Themethodsimplifiesthedesignoftimesequentialcircuit.Finally,aninstancesquentialisgiventoillustratetheworkingflow.Keywords:substring;mainstringse;quentialdetector在图1所示的电路中,将选择开关J1置2端,这时从脚5输入A3的信号除了调制信号以外,还包含直流电压.该信号与载波信号相乘,实现了普通调幅.输出AM波5(1+cos2π×103t)・cos2π×105t,波形如图4所示.直观的显示:调幅信号的包络反映了调制信号变化的规律,此时调幅系数Ma=1.图1电路中脚2处的V10电源从5V变为10V,这时调幅系数Ma=1/2,输出AM波10(1+0.5cos2π×103t)×cos2π×105t,波形如图5所示,直观解释了调幅的定义———将调制信号完全加载到载波的振幅上.3结束语高频电子线路课程涉及到大量的内容、复杂的公式和抽象的概念,使概念直观化、理论结果可视化是帮助学生理解基本理论的有效方法.EWB软件作为优秀的电子电路设计与仿真软件,为我们在有限的时间内将基本知识传授给学生提供了条件.参考文献:[1]路而红.虚拟电子实验ElectronicsWorkbench[M].北京:人民邮电出版社,2001.[2]谢嘉奎.电子线路(非线性部分)[M].北京:高等教育出版社,2004.(责任编辑梁志星)AidedFunctionofEWBSoftwareinTeachingHighFrequencyElectronicCircuitGAOKe-Fang,LIUYin-chun(Col1egeofMechanical&ElectronicEngineering,FujianAgricultural&ForestryUniversity,Fuzhou350002,China)Abstract:Accordingtothefeaturesofthecourseinhighfrequencyelectroniccircuit,thisarticlediscussesthenecessityofEWBsoftwaretobeintroducedintothiscourse.ThetypicalexamplesshowthatapplicationofEWBsoftwarenotonlyenhancesinterestofstudents’sstudy,butalsomakesstudentsintuitivelyandclearlycomprehendbasictheoriesandconceptsofthecourseinthefinitetime.Keywords:highfrequencyelectroniccircuit;EWB;amplitudemodulation;demodulation!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!石家庄学院学报2006年11月128。

相关文档
最新文档