EDA-Verilog HDL期末复习题总结必过

合集下载

最新Verilog-HDL期末考试复习题资料

最新Verilog-HDL期末考试复习题资料

【第一章】1、FPGA 芯片的发展主要体现在哪几个方面?未来的发展趋势是什么?答:新型芯片的规模越来越大,成本越来越低,低端的FPGA已逐步取代了传统的数字元件。

先进的ASIC生产工艺已经被用于FPGA的生产,越来越丰富的处理器内核被嵌入到高端的FPGA芯片中,基于FPGA的开发成为一项系统级设计工程。

随着半导体制造工艺的不同提高,FPGA的集成度将不断提高,制造成本将不断降低,其作为替代ASIC来实现电子系统的前景将日趋光明。

2、EDA 技术的优势是什么?答:1.用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。

2.EDA工具之所以能够完成各种自动设计过程,关键是有各类库的支持。

3.某些HDL也是文档型的语言,极大地简化了设计文档的管理。

4.EDA具有日益强大的逻辑设计仿真测试技术,极大地提高了大规模系统电子设计的自动化程度。

5.基于EDA技术的设计,由于用HDL表达的成功的专用功能设计在实现目标方面有很大的可选性,它既可以用不同来源的通用FPGA/CPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权。

6.EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变;它的开发工具是规范化的,EDA软件平台支持任何标准化的设计语言;它的设计成果是通用性的,IP核具有规范的接口协议。

良好的可移植与可测试性,为系统开发提供了可靠的保证。

7.EDA技术能将所有设计环节纳入统一的自顶向下的设计方案中。

8.EDA不但在整个设计流程上充分利用计算机的自动设计能力,在各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整全面的测试。

3、EDA 的设计流程包括哪几个环节?ANS: ①设计输入(原理图/HDL 文本编辑)②综合③FPGA/CPLD 适配④时序仿真与功能门级仿真⑤FPGA/CPLD 编程下载⑥FPGA/CPLD 器件电路硬件检测。

Verilog 考试题目整理

Verilog 考试题目整理

Verilog 考试题目整理1、EDA设计环节过程(1)编译:EDA首先将源HDL模型转变为基本的门级网表(2)映射:EDA为基本门模型分配具体的cell(3)验证:对抽象电路模型进行测试(4)Testbench:为了进行验证,需要单独编写一段HDL代码,建立一个用于测试目的模型。

(5)仿真:EDA软件提供了一种运行Testbench的方法:即按照电路模型机制,生成需要的激励信号并观察和分析模型中的信号。

这种运行抽象模拟的方法称为仿真。

(6)综合前验证:时,Testbench加载的测试模型使是用户PTL模型,而此时验证的内容主要是测试模拟的逻辑性能,因此综合前验证又被称为功能仿真或RTL 仿真,有时也被简单地称为前仿。

(7)综合后验证:时,Testbench加载的测试模型已经是装配后的由基本门描述的模型,即网表,此时验证的内容主要是设计模型的时序性能,因此综合后验证又被称为时序仿真或门及仿真,有时也被简单的称为后防。

2、自顶向下设计与自底向上设计优缺点(1)、传统的电子设计多采用自底向上底设计方法:首先确定最底层的元件和电路模块的功能和结构,再进行组合得到较大得模块。

如此进行,向上递推直至完成整个电路。

此方法中任何一级发生问题,通常都要返工;由于元件供应、改型等得变化往往造成重新设计(2)、现代的EDA设计采用自顶向下的设计方法:从系统功能、结构至各门级连接,从抽象层次逐步具体化。

此方法从最开始逐级仿真、综合便于及早发现问题,即使设计中出现问题也不会从头返工。

缺点是需要先进的工具3、IP核分类4、可编程器件有哪几种(1)PLAProgrammable logic arrays(PLA) 是一种可编程逻辑装置,它的与阵列(AND array)和或阵列(OR array)均为可编程,输出电路为不可组态.又叫做FPLA(field-programmable logic array)(2)PALPAL(Programmable Array Logic)可编程阵列逻辑,是70年代末由MMI公司率先推出的一种低密度,一次性可编程逻辑器件,第一个具有典型实际意义的可编程逻辑器件(PLD-Programmable Logic Device)。

Verilog 复习考试总结

Verilog 复习考试总结

3Verilog1.Verilog HDL是一种硬件描述语言,用于从算法级、RTL级、门级到开关级的多种抽象设计层次的数字系统建模。

令行为级描述:数据结构和过程类似C;用于描述算法级和RTL级的Verilog模型。

令结构级描述:用于描述门级和开关级电路;特点:支持门级延时信息和驱动能力等的描述。

VHDL侧重于系统级描述,从而更多的为系统级设计人员所采用;Verilog侧重于电路级描述,从而更多的为电路级设计人员所采用。

2. Verilog HDL设计入门模块(module)模块是Verilog 的基本描述单位模块的定义从关键词module开始,到关键词endmodule结束每条Verilog HDL语句以分号“;”作为结束模块的基本结构(1)、模块定义行以module开头接着给出所定义模块的;模块名括号内给出端口名列表(端口名等价于硬件中的外接引脚,模块通过这些端口与外界发生联系)以分号结束(2)、端口类型说明端口类型只有input、output、inout三种(3)、数据类型说明支持的数据类型有连线类(wire)和寄存器(reg)类两个大类一位宽的wire类可被缺省外,其它凡将在在后面的描述中出现的变量都应给出相应的数据类型说明(4)、描述体部具体)展开对模块的描述(5)、结束行用关键词endmodule标志模块定义的结束它的后面没有分号令行为描述(Behavior)描述行为或功能特性令结构描述(Structure)描述通过什么样的结构方式将不同的实体连接起来用以实现所要求的行为或功能。

测试与仿真令测试平台(Test Bench):在输入端口加入测试信号,从输出端口检测其输出结果是否正确。

令通常将需要测试的对象称之为DUT (Device Under Test)。

令测试模块:要调用DUT;包含用于测试的激励信号源;能够实施对输出信号的检测,并报告检测的结果。

过程语句令Initial:只顺序地执行一次;没有触发条件。

VerilogHDL期末复习

VerilogHDL期末复习

文件扩展名为v,大小写敏感;所有关键字必须小写;标识符(区分大小写)合法字符:26个大小写英文字母;数字0-9;下划线;$等;命名规则:以英文字母或下划线开头;不能出现两条(或以上)连续的下划线;不能和关键字重复。

数据对象:1、常数:整数、实数和字符串。

整数可综合,实数和字符串不可综合。

(1)整数:<位宽>’<进制符号><数字>位宽:数字对应的二进制数的位数;进制符号包括:b或B(二进制),d或D(十进制),o或O(八进制),h或H(十六进制)。

数字中间可用下划线分隔,提高可读性。

十进制数的位宽和进制符号可省略。

若只标进制,未注明位宽,则位宽为(单个位的该进制数对应二进制位宽*该进制数的位数)。

若指明的位宽比数字需要的位宽小,则从左边(高位)截去多余位。

除十进制外,数字中可用x或X(未知),z或Z(高阻)。

位数由所在数字格式决定。

如'hfx等价于'b1111xxxx整数可在其前面加负号,负数的实际值为其对应的二进制补码。

(2)实数(3)字符串2、变量(1)网络型(net type)net型变量的输出值始终根据输入变化而变化,一般用来定义硬件电路中的各种连线。

wire型变量是net型变量中最常用的一种,其取值可以是0、1、x或z。

wire、tri:连线类型,功能完全相同;tri名称仅为增加程序可读性,表示综合后电路有三态功能。

wor、trior:具有线或特性的连线,功能相同。

wand、triand:具有线与特性的连线,功能相同。

tri1、tri0:分别为上拉电阻和下拉电阻。

supply1、supply0:分别为电源(逻辑1)和地(逻辑0)。

(2)寄存器型(register type)reg:常用的寄存器型变量。

(可综合)integer:32位带符号整数型变量。

(不同资料中可综合性表述不同)real:64位带符号实数型变量。

(不可综合,表示实数寄存器)time:无符号时间型变量。

VerilogHDL期末考试复习题

VerilogHDL期末考试复习题

VerilogHDL期末考试复习题【第一章】1、FPGA 芯片的发展主要体现在哪几个方面?未来的发展趋势是什么?答:新型芯片的规模越来越大,成本越来越低,低端的FPGA已逐步取代了传统的数字元件。

先进的ASIC生产工艺已经被用于FPGA的生产,越来越丰富的处理器内核被嵌入到高端的FPGA芯片中,基于FPGA的开发成为一项系统级设计工程。

随着半导体制造工艺的不同提高,FPGA的集成度将不断提高,制造成本将不断降低,其作为替代ASIC来实现电子系统的前景将日趋光明。

2、EDA 技术的优势是什么?答:1.用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。

2.EDA工具之所以能够完成各种自动设计过程,关键是有各类库的支持。

3.某些HDL也是文档型的语言,极大地简化了设计文档的管理。

4.EDA具有日益强大的逻辑设计仿真测试技术,极大地提高了大规模系统电子设计的自动化程度。

5.基于EDA技术的设计,由于用HDL表达的成功的专用功能设计在实现目标方面有很大的可选性,它既可以用不同来源的通用FPGA/CPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权。

6.EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变;它的开发工具是规范化的,EDA软件平台支持任何标准化的设计语言;它的设计成果是通用性的,IP核具有规范的接口协议。

良好的可移植与可测试性,为系统开发提供了可靠的保证。

7.EDA技术能将所有设计环节纳入统一的自顶向下的设计方案中。

8.EDA不但在整个设计流程上充分利用计算机的自动设计能力,在各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整全面的测试。

3、EDA 的设计流程包括哪几个环节?ANS: ①设计输入(原理图/HDL 文本编辑)②综合③FPGA/CPLD 适配④时序仿真与功能门级仿真⑤FPGA/CPLD 编程下载⑥FPGA/CPLD 器件电路硬件检测。

EDA-Verilog HDL期末复习题总结必过

EDA-Verilog HDL期末复习题总结必过

选择题1.大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是(C)。

A.FPGA 全称为复杂可编程逻辑器件;B.FPGA 是基于乘积项结构的可编程逻辑器件;C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置;D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。

2.不完整的IF语句,其综合结果可实现(A)A. 时序逻辑电路B.组合逻辑电C. 双向电路D. 三态控制电路3.综合是EDA设计流程的关键步骤,在下面对综合的描述中,(D)是错误的。

A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。

4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C )。

A.FPGA全称为复杂可编程逻辑器件;B.FPGA是基于乘积项结构的可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

5.以下关于状态机的描述中正确的是(B)A.Moore型状态机其输出是当前状态和所有输入的函数B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数D.以上都不对6.目前应用最广泛的硬件描述语言是(B)。

A. VHDLB. Verilog HDLC. 汇编语言D. C语言7.一模块的I/O 端口说明:“input [7:0] a;”,则关于该端口说法正确的是( A )。

A. 输入端口,位宽为8B. 输出端口,位宽为8C. 输入端口,位宽为7D. 输出端口,位宽为78.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入→综合→___ __→→适配→编程下载→硬件测试。

Verilog总结复习题 副本

Verilog总结复习题  副本

Verilog复习题一、填空题1. 用 EDA 技术进行电子系统设计的目标是最后完成2. 可编程器件分为_CPLD_ 和 __FPGA__ 。

3. 随着 EDA 技术的不断完满与成熟,_自顶向下_ ASIC的设计与实现。

_的设计方法更多的被应用于Verilog HDL设计中间。

4.当前国际上较大的 PLD 器件制造公司有 _ALtera_ 和 _Xilinx_ 公司。

5.完满的条件语句将产生 _组合 _电路,不完满的条件语句将产生_时序 _电路。

6.拥塞性赋值符号为___=____ ,非拥塞性赋值符号为 ____<=_______ 。

7.有限状态机分为 __Moore__ 和 _Mealy_ 两各种类。

8、 EDA 缩写的含义为电子设计自动化 (Electronic Design Automation)_ _9.状态机常用状态编码有_二进制 _、_格雷码 _和 _独热码 _。

10. Verilog HDL中任务能够调用_其他任务 _和__函数 __。

11.系统函数和任务函数的首字符标志为_$_,预编译指令首字符标志为__#__。

12.可编程逻辑器件的优化过程主若是对___速度 ___和 __资源 __的办理过程。

13、大型数字逻辑电路设计采用的IP 核有 __软 IP__、__固 IP___和 __硬 IP__。

二、选择题1、已知“a =1b’ 1; b=3b'001;”那么{a,b}=(C)(A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'1012、在 verilog中,以下语句哪个不是分支语句?(D)(A) if-else (B) case (C) casez (D) repeat3、 Verilog HDL语言进行电路设计方法有哪几种(①自上而下的设计方法(Top-Down )②自下而上的设计方法(Bottom-Up )8 分)③综合设计的方法4、在 verilog 语言中, a=4b'1011,那么&a= ( D)(A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'05、在 verilog 语言中整型数据与( C )位寄存器数据在实质意义上是相同的。

EDA verilog hdl考试题和答案

EDA verilog hdl考试题和答案

EDA verilog hdl考试题和答案一、选择题(每题2分,共20分)1. 在Verilog HDL中,以下哪个关键字用于定义模块?A. moduleB. endmoduleC. inputD. output答案:A2. Verilog HDL中,以下哪个操作符用于按位取反?A. ~B. !C. ^D. &答案:A3. 在Verilog HDL中,以下哪个关键字用于定义组合逻辑?A. alwaysB. initialC. always_combD. always_seq答案:C4. 以下哪个是Verilog HDL中合法的标识符?A. 2variableB. variable2C. variable$2D. variable_2答案:B5. 在Verilog HDL中,以下哪个关键字用于定义信号的初始值?A. initialB. alwaysC. assignD. defparam答案:A6. 在Verilog HDL中,以下哪个关键字用于定义参数?A. parameterB. defparamC. localparamD. specparam答案:A7. 在Verilog HDL中,以下哪个关键字用于定义一个始终块,该块在仿真开始时执行一次?A. alwaysB. initialC. always_combD. always_ff答案:B8. 在Verilog HDL中,以下哪个操作符用于逻辑与?A. &&B. &C. ||D. |答案:B9. 在Verilog HDL中,以下哪个关键字用于定义一个始终块,该块在信号变化时触发?A. alwaysB. initialC. always_combD. always_ff答案:A10. 在Verilog HDL中,以下哪个关键字用于定义一个三态输出?A. outputB. inoutC. triD. wire答案:C二、填空题(每题2分,共20分)1. 在Verilog HDL中,____关键字用于定义一个始终块,该块在信号的边沿触发时执行。

VerilogHDL复习题与答案

VerilogHDL复习题与答案

VerilogHDL硬件描述语言复习一、1. Verilog HDL 是在哪一年首次被I E E E标准化的?答:Verilog HDL是在1995年首次被IEEE标准化的。

2. Verilog HDL支持哪三种基本描述方式?答:Verilog HDL可采用三种不同方式或混合方式对设计建模。

这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模3. Verilog HDL 是由哪个公司最先开发的?答:Verilog HDL是由Gateway Design Automation公司最先开发的4. Verilog HDL中的两类主要数据类型什么?答:线网数据类型和寄存器数据类型。

线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。

5. U D P代表什么?答:UDP代表用户定义原语6. 写出两个开关级基本门的名称。

答:pmos nmos7.写出两个基本逻辑门的名称。

答:and or8.在数据流描述方式中使用什么语句描述一个设计?答:设计的数据流行为使用连续赋值语句进行描述9. 采用结构描述方式描述1位全加器。

答:module full_add(a,b,cin,s,co);input a,b,cin;output s,co;wire S1,T1,T2,T3;xorX1(S1,a,b),X2(s,S1,cin);andA1(T3,a,b),A2(T2,b,cin),A3(T1,a,cin);orO1(co,T1,T2,T3);endmodule10. i n i t i a l语句与always 语句的关键区别是什么?答: 1) initial语句:此语句只执行一次。

2) always语句:此语句总是循环执行, 或者说此语句重复执行。

11.采用数据流方式描述2 - 4译码器。

答:'timescale 1ns/nsmodule Decoder2×4(A,B,EN,Z);input A,B,EN;output [0:3]Z;wire abar,Bbar;assign #1 Abar=~A;assign #1 Bbar=~B;assign #2 Z[0]=~(Abar&Bbar&EN);assign #2 Z[1]=~(Abar&B&EN);assign #2 Z[2]=~(A&Bbar&EN);assign #2 Z[3]=~(A&B&EN);endmodule1 2. 找出下面连续赋值语句的错误。

Verilog期末考试复习题

Verilog期末考试复习题
二、选择题 1、已知 “a =1b’1; b=3b'001;”那么{a,b}=( C )
(A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'101 2、在 verilog 中,下列语句哪个不是分支语句?( D ) (A) if-else (B) case (C) casez (D) repeat 3、Verilog HDL 语言进行电路设计方法有哪几种(8 分) ①自上而下的设计方法(Top-Down) ②自下而上的设计方法(Bottom-Up) ③综合设计的方法 4、在 verilog 语言中,a=4b'1011,那么 &a=(D ) (A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'0 5、在 verilog 语言中整型数据与( C )位寄存器数据在实际意义上是相同的。
⑴、函数中不能包含时序控制语句,对函数的调用,必须在同一仿真时刻返回。而任 务可以包含时序控制语句,任务的返回时间和调用时间可以不同。
(A) 8 (B) 16 (C) 32 (D) 64 6、大规模可编程器件主要有 FPGA、CPLD 两类,下列对 FPGA 结构与工作原理的描述中, 正确的是___C____ 。 A.FPGA 全称为复杂可编程逻辑器件;
B.FPGA 是基于乘积项结构的可编程逻辑器件; C.基于 SRAM 的 FPGA 器件,在每次上电后必须进行一次配置; D.在 Altera 公司生产的器件中,MAX7000 系列属 FPGA 结构。 7. 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度 (即速度优化);指出下列哪些方法是面积优化___B____。 ①流水线设计 ②资源共享 ③逻辑优化 ④串行化 ⑤寄存器配平

verilog HDL期末考试

verilog HDL期末考试

硬件语言与FPGA期末测试开课时间:2015~2016第2学期学生姓名:班级:学号:硬件语言与FPGA 期末测试第一题:计数器设计1.设计一个最基本的计数器,功能每个时钟上升沿实现加1的功能。

(工程名cnt1+学号后两位)(10)module cnt1_42(CLK,Q);input CLK;output[2:0] Q;reg[2:0] Q1;always@(posedge CLK)begin //实现0-4加法计数if(Q1<4)Q1<=Q1+1;elseQ1<=0;endassign Q=Q1;endmodule计数器1.rar2.对上诉计数器进行功能扩展,要求有复位、数据加载、加减计数选择功能(如有无法实现功能,会适当扣分)(20)module cnt1_42(CLK,RST,LOAD,CHNG,DOUT,COUT,DATA);input CLK,RST,LOAD,CHNG; //CLK为时钟信号,LOAD为数据加载使能,CHN为加减计数选择,COUT为进位DOUT为输出,DATA为数据加载,RST为复位input[2:0] DATA;output[2:0] DOUT;output COUT;reg[2:0] Q=0;reg COUT;always@(posedge CLK or negedge RST)beginif(!RST)Q<=0;else if(LOAD)Q=DATA;else if(CHNG)beginif(Q<4)Q<=Q+1;elseQ<=0;endelse beginif(Q>0)Q<=Q-1;elseQ<=0;endendalways@(Q)if(Q==3'b100)COUT=1;elseCOUT=0;assign DOUT=Q;endmodule计数器2.rar第二题:分频器设计设计一个奇数分频器,要求可以通过参数完成任意奇数分频。

最新Verilog期末复习题资料

最新Verilog期末复习题资料

Verilog 复习题一、填空题1. 用EDA技术进行电子系统设计的目标是最终完成ASIC的设计与实现。

2. 可编程器件分为CPLD和FPGA。

3. 随着EDA技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL设计当中。

4. 目前国际上较大的PLD器件制造公司有ALtera和Xilinx公司。

5. 完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。

6. 阻塞性赋值符号为,非阻塞性赋值符号为<=_。

7. 有限状态机分为Moore和Mealy两种类型。

8. EDA缩写的含义为电子设计自动化(Electronic Design Automation) |9. 状态机常用状态编码有二进制、格雷码和独热码。

10. Verilog HDL中任务可以调用其他任务和函数。

11. 系统函数和任务函数的首字符标志为_$_,预编译指令首字符标志为。

12. 可编程逻辑器件的优化过程主要是对速度和资源的处理过程。

13. 大型数字逻辑电路设计采用的IP核有软IP、固IP和硬IP。

二、选择题1、已知“a =1b' 1; b=3b'001; ”那么{a,b} =( C )(A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'1012、在verilog中,下列语句哪个不是分支语句? ( D )(A) if-else (B) case (C) casez (D) repeat3、Verilog HDL语言进行电路设计方法有哪几种( 8分)①自上而下的设计方法(Top-Down )②自下而上的设计方法(Bottom-Up )③综合设计的方法4、在verilog 语言中,a=4b'1011,那么&a= (D )(A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'05、在verilog语言中整型数据与(C )位寄存器数据在实际意义上是相同的。

verilogHDL语言复习归纳

verilogHDL语言复习归纳

verilog HDL语言复习归纳2010-11-10 17:39:46| 分类:FPGA|字号订阅1、用module endmodule定义一个模块;module后跟模块名称后跟端口列表如module myaddr(a,b,c);2、端口类型包括输入input、输出output、输入&输出inout。

3、变量可以定义为wire和reg型,变量要放在begin...end內,则该变量就需要使用reg,在begin...end之外,则使用wire;4、在定义变量的时候使用的格式是:变量定义:<type> <size、wide> <parameter>其中要注意的是第二个位置的地方,必须定义线宽,否则会出现意想不到的错误。

在端口类型定义和在变量类型定义的时候都要加上线宽。

wire 为线形变量reg 为寄存器型变量5、逻辑功能描述的三种方法用assign描述逻辑功能,always描述、创建实例来描述。

assign用来描述直接建立输出和输入信号的某种联系;如assign a=b|c; assign a=b&c; assign a=b+c; assign a=b*c;always用来描述一些比较复杂的组合逻辑及时序逻辑后面跟上@ 表示在什么情况下触发执行,类似于触发器。

*标识敏感列表(所有输入信号有变化的时候都触发)。

触发可以是电平触发,也可以是上升沿或者是下降沿触发,分别跟posedge negedge,如always @(posedge clk0 or negedge clk1)assign和always语句都是并发执行的,需要顺序执行则要加上begin end,如'timescale 100ns/100ns......................begin#1 a=b;#1 b=c;end执行顺序是先过100ns执行a=b,再经过100ns执行b=c。

EDA_VerilogHDL_复习提纲(word文档良心出品)

EDA_VerilogHDL_复习提纲(word文档良心出品)

1.EDA技术是20世纪后期,伴随着微电子技术、大规模集成电路制造技术、计算机辅助工程、可编程逻辑器件以及电子设计技术和工艺的发展而同步发展形成的一门综合性的技术与学科。

2在EDA工具软件平台上,自动完成从软件方式描述的数字系统到硬件系统的逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线)、逻辑优化和仿真测试等功能,随之完成对于特定目标芯片的适配、逻辑映射、编程下载等工作,直至硬件实现整个数字系统3.综合是将高层次上描述的电子系统转换为低层次上描述的电子系统,以便于系统的具体硬件实现综合器是能自动将高层次的表述(系统级、行为级)转化为低层次的表述(门级、结构级)的计算机程序4.设计输入的方式有原理图、硬件描述语言、状态图以及波形图5.按照仿真的电路描述级别的不同,HDL仿真器可以完成:系统级仿真,行为级仿真,RTL级仿真,门级(时序)仿真。

按照仿真是否考虑硬件延时分类,可以分为:功能仿真和时序仿真。

仿真器可分为基于元件(逻辑门)仿真器和基于HDL语言的仿真器6. IP核是知识产权核或知识产权模块,在EDA技术中具有十分重要的地位。

半导体产业的IP定义为用于ASIC或FPGA中的预先设计好的电路功能模块。

IP分为软IP、固IP和硬IP。

7.可编程逻辑器件PLD是一种通过用户编程或配置实现所需逻辑功能的逻辑器件,也就是说用户可以根据自己的需求,通过EDA开发技术对其硬件结构和工作方式进行重构,重新设计其逻辑功能8.两种可编程逻辑结构是基于与-或阵列可编程结构(乘积项逻辑可编程结构)、基于SRAM查找表的可编程逻辑结构9.PLD按集成度分类:简单PLD、复杂PLD;按结构分类:基于“与-或”阵列结构的器件、基于查找表结构的器件;从编程工艺上分类:熔丝型、反熔丝型、EPROM型、EEPROM型、SRAM型、Flash型10.四种简单逻辑器件:PROM中固定的与阵列,可编程或阵列;PLA是与阵列、或阵列都可编程;PAL中或阵列固定,与阵列可编程;GAL是或阵列、与阵列都可编程,输入部分增加了输出逻辑同单元(OLMC)11.CPLD的组成结构:逻辑阵列块(由逻辑宏单元构成)、扩展乘积项(共享和并联)、可编程连线阵列、I/O控制块12.FPGA的组成结构:逻辑阵列块LAB(由多个逻辑宏单元构成)、嵌入式存储器块、嵌入式硬件乘法器、I/O单元和PLL等模块13.Verilog的端口模式有三种:输入端口、输出端口、双向端口,对应的端口定义关键词分别是:input、output、inout14.Verilog中常用有两种变量:寄存器型变量(用reg定义)、网线型变量(用wire定义)15.Verilog有两种赋值方式:阻塞式赋值(=)、非阻塞式赋值(<=)16.Verilog有四种循环语句:for语句、repeat语句、while语句、forever语句17.Verilog的描述风格:RTL描述、数据流描述、行为描述、结构描述18.从状态机的信号输出方式上分,有Mealy型和Moore型两种状态机;从状态机的描述结构上分,有单过程状态机和多过程状态机;从状态机表达方式上分,有符号化状态机和确定状态编码的状态机;从状态机编码方式上分,有顺序编码状态机、一位热码编码状态机或其他编码方式状态机。

verilog HDL 名词解释和程序 期末试卷重点

verilog HDL 名词解释和程序 期末试卷重点

名词解释:1.EDA:是电子设计自动化的缩写,EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.HDL:硬件描述语言,是一种以文本形式描述数字电路和数字系统的语言,是指对硬件电路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言。

3.FPGA(:现场可编程逻辑门阵列,它采用了逻辑单元阵列LCA这样一个概念,内部包括可配置逻辑模块CLB、输入输出模块IOB和内部连线三个部分。

FPGA利用小型查找表(16×1RAM)来实现组合逻辑。

4.CPLD:复杂的可编程逻辑器件, 主要是由可编程逻辑宏单元围绕中心的可编程互连矩阵单元组成。

是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。

其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

5.IP: IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。

6.Testbench: 在设计数字电路系统时,通常将测试模块和功能模块分开设计,其中测试模块也称测试台(Testbench)。

Testbench是通过对设计部分施加激励,然后检查其输出正确与否来完成其验证功能的。

7.reg:是寄存器数据类型的关键字,其表示一个抽象的数据存储单元。

reg只能在initial和always 中赋值。

而reg在过程赋值语句中使用。

reg型数据常用来表示always模块内的指定信号,代表触发器。

通常在设计中要由always模块通过使用行为描述语句来表达逻辑关系。

在always块内被赋值的每一个信号都必须定义为reg型。

8.wire:是最常用的Net型变量。

EDA(FPGA)期末考试试题汇总 甄选

EDA(FPGA)期末考试试题汇总 甄选

最新EDA(FPGA)期末考试试题汇总(优选.)rd这是长期总结的EDA期末考试试题试题一1-2与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。

综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。

(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

(完整word版)EDA-VerilogHDL期末复习题总结必过

(完整word版)EDA-VerilogHDL期末复习题总结必过

选择题1.大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是(C)。

A.FPGA 全称为复杂可编程逻辑器件;B.FPGA 是基于乘积项结构的可编程逻辑器件;C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置;D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。

2.不完整的IF语句,其综合结果可实现(A)A. 时序逻辑电路B.组合逻辑电C. 双向电路D. 三态控制电路3.综合是EDA设计流程的关键步骤,在下面对综合的描述中,(D)是错误的。

A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。

4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C )。

A.FPGA全称为复杂可编程逻辑器件;B.FPGA是基于乘积项结构的可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

5.以下关于状态机的描述中正确的是(B)A.Moore型状态机其输出是当前状态和所有输入的函数B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数D.以上都不对6.目前应用最广泛的硬件描述语言是(B)。

A. VHDLB. Verilog HDLC. 汇编语言D. C语言7.一模块的I/O 端口说明:“input [7:0] a;”,则关于该端口说法正确的是( A )。

A. 输入端口,位宽为8B. 输出端口,位宽为8C. 输入端口,位宽为7D. 输出端口,位宽为78.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入→综合→___ __→→适配→编程下载→硬件测试。

EDA(FPGA)期末考试试题汇总

EDA(FPGA)期末考试试题汇总

这是长期总结的EDA期末考试试题试题一1-2与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。

综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。

(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

选择题1.大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是(C)。

A.FPGA 全称为复杂可编程逻辑器件;B.FPGA 是基于乘积项结构的可编程逻辑器件;C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置;D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。

2.不完整的IF语句,其综合结果可实现(A)A. 时序逻辑电路B.组合逻辑电C. 双向电路D. 三态控制电路3.综合是EDA设计流程的关键步骤,在下面对综合的描述中,(D)是错误的。

A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。

4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C )。

A. FPGA全称为复杂可编程逻辑器件;B. FPGA是基于乘积项结构的可编程逻辑器件;C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。

5.以下关于状态机的描述中正确的是(B)A.Moore型状态机其输出是当前状态和所有输入的函数B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数D.以上都不对6.目前应用最广泛的硬件描述语言是(B)。

A. VHDLB. Verilog HDLC. 汇编语言D. C语言7.一模块的I/O 端口说明:“input [7:0] a;”,则关于该端口说法正确的是( A )。

A. 输入端口,位宽为8B. 输出端口,位宽为8C. 输入端口,位宽为7D. 输出端口,位宽为78.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入→综合→___ __→→适配→编程下载→硬件测试。

正确的是( B)。

①功能仿真②时序仿真③逻辑综合④配置⑤分配管脚A.③①B.①⑤C.④⑤D.④②9.下列标识符中,( A)是不合法的标识符。

A.9moon B.State0 C.Not_Ack_0 D.signall10.下列语句中,不属于并行语句的是:( D )A.过程语句B.assign语句C.元件例化语句 D.case语句11.已知 “a =1’b1; b=3'b001;”那么{a,b} =(C)(A) 4'b0011 (B) 3'b001 (C) 4'b1001 (D) 3'b10112.在verilog 中,下列语句哪个不是分支语句?( D )(A) if-else (B) case (C) casez (D) repeat13.在verilog 语言中整型数据在默认情况与(C)位寄存器数据在实际意义上是相同的。

(A) 8 (B) 16 (C) 32(D) 6414.大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是(C)A.FPGA 全称为复杂可编程逻辑器件;B.FPGA 是基于乘积项结构的可编程逻辑器件;C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置;D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。

15.请根据以下两条语句的执行,最后变量 A 中的值是(A)reg [7:0] A;A=2'hFF;A.8'b0000_0011 B.8'h03 C.8'b1111_1111 D.8'b1111111116.下列描述中采用时钟正沿触发且reset异步下降沿复位的代码描述是(C)A、always @(posedge clk, negedge reset)if(reset)B、always@(posedge clk, reset)if (!reset)C、always @(posedge clk, negedge reset)if(!reset)D、always @(negedge clk, posedge reset)if (reset)17.关于过程块以及过程赋值描述中,下列正确的是(A)A、在过程赋值语句中表达式左边的信号一定是寄存器类型;B、过程块中的语句一定是可综合的;C、在过程块中,使用过程赋值语句给wire 赋值不会产生错误;D、过程块中时序控制的种类有简单延迟、边沿敏感和电平敏感。

18.Verilog 语言与 C 语言的区别,不正确的描述是( C )A 、Verilog 语言可实现并行计算,C 语言只是串行计算;B、Verilog 语言可以描述电路结构,C 语言仅仅描述算法;C、Verilog 语言源于C 语言,包括它的逻辑和延迟;D、Verilog 语言可以编写测试向量进行仿真和测试。

19.11. 下列模块的例化正确的是( C )。

A. Mydesign design(sin(sin), sout(sout));B. Mydesign design(.sin(sin), .sout(sout));C. Mydesign design(.sin(sin), .sout(sout););D. Mydesign design(.sin(sin); .sout(sout));20.下列关于Verilog HDL语言中模块的例化说法错误的是( B )。

A. 在引用模块时,有些信号要被输入到引用模块中,有些信号要从引用模块中输出B. 在引用模块时,必须严格按照模块定义的端口顺序来连接C. 在引用模块时可以用“.”符号,表明原模块是定义时规定的端口名,用端口名和被引用模块的端口相对应,提高程序的可读性和可移植性D. 在语句“ Mydesign design( .port1( port1), .port2 (port2)); ”中,被引用的模块为Mydesign 模块21.下列Verilog HDL语言中寄存器类型数据定义与注释矛盾的是( D )。

A. reg [3:0] sat //sat 为4 位寄存器B. reg cnt //cnt 为1 位寄存器C. reg [0:3] mymem [0:63] //mymem 为64 个4 位寄存器的数组D. reg [1:5] dig //dig 为4 位寄存器22.下列关于非阻塞赋值运算方式(如b<=a;)说法错误的是( B )。

A. 块结束后才完成赋值操作B. b 的值立刻改变C. 在编写可综合模块时是一种比较常用的赋值方式D. 非阻塞赋值符“ <=”与小于等于符“ <=”意义完全不同,前者用于赋值操作,后者是关系运算符,用于比较大小。

23.下列关于阻塞赋值运算方式(如b=a;)说法错误的是( A )。

A. 赋值语句执行完后,块才结束B. b 的值在赋值语句执行完后立刻就改变的C. 在沿触发的always 块中使用时,综合后可能会产生意想不到的结果D. 在“always”模块中的reg 型信号都采用此赋值方式24.在下列Verilog HDL运算符中,属于三目运算符的是( C )。

A. &&B. !==C. ?:D. ===25.当 a <0 时,s 的值是( C )。

assign s= (a >=2 ) ? 1 : (a < 0) ? 2: 0;A. 0B. 1C. 2D. 其他26.在Verilog HDL 语言中的位拼接运算符是( A )。

A. { }B. < >C. ( )D. ' '27.下面语句中,信号 a 会被综合成( B )。

reg [5:0] a;always @(posedge clk)if (ss>10)a <= 20;else if (ss > 15) a <= 30;A. 寄存器B. 触发器C. 连线资源D. 其他28.下列程序段中无锁存器的是( C )。

29.程序段如下 :begin:reg[7:0] tem;count = 0;tem = rega;while(tem)beginif(tem[0]) count = count +1;tem = tem >>1;end end如果 rega 的值为 8 ' b10101011,则程序结束后, count 的值是( )。

A. 4B. 5C. 6D. 730.多路选择器简称多路器,它的输入输出端口情况是( )。

A. 多输入,多输出B. 多输入,单输出C. 单输入,多输出D. 单输入,单输出A. always @ (al or d)beginif(al) q<= d;endB. always @ (al or d)beginif(al) q<=d;if(!al) q<=!d;endC. always @ (al or d)begin if(al)q<=d;else q<=0;endD. always @ (sel[1:0] or a or b)case(sel[1:0])2' b00: q<=a;2' b11; q<=b;Endcase填空题1.用EDA 技术进行电子系统设计的目标是最终完成ASIC 的设计与实现。

2.可编程器件分为FPGA 和CPLD 。

3.随着EDA 技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL4.设计当中。

5.目前国际上较大的PLD 器件制造公司有Altera 和Xilinx 公司。

6.完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。

7.阻塞性赋值符号为= ,非阻塞性赋值符号为<= 。

8.有限状态机分为Moore和Mealy 两种类型。

9.EDA 缩写的含义为电子设计自动化(Electronic Design Automation)10.状态机常用状态编码有二进制、格雷码和独热码。

11.Verilog HDL 中任务可以调用其他任务和函数。

12.系统函数和任务函数的首字符标志为$ ,预编译指令首字符标志为# 。

13.可编程逻辑器件的优化过程主要是对速度和资源的处理过程。

14.大型数字逻辑电路设计采用的IP 核有软IP、固IP 和硬IP。

15.IEEE 标准的硬件描述语言是verilog HDL和VHDL。

相关文档
最新文档