青岛理工大学eda作业

合集下载

eda大作业

eda大作业

e d a大作业本页仅作为文档页封面,使用时可以删除This document is for reference only-rar21year.March班级 021291学号 02129057EDA实验报告学院电子工程学院专业电子信息工程学生姓名 02129057导师姓名纠博交通控制器一.设计目标设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是70、5和75秒。

当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。

当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。

二.设计思路与实施方案1.设计目标思路整理①在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一方向(东西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。

②设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是70s、5s和75s。

③当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。

当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。

2.原理分析本系统主要由分频器,计数器,控制器,倒计时显示器等电路组成。

分频器将晶振送来的50MHZ信号变为1HZ时钟信号;计数器实现总共150秒的计数,它也是交通控制系统的一个大循环;控制器控制系统的状态转移和红黄绿灯的信号输出;倒计时显示电路实现75秒,70秒及5秒的倒计时和显示功能。

整个系统的工作时序受控制器控制,是系统的核心。

基于此,做出交通控制系统的转移图如下:其中,s0:A方向绿灯亮,B方向红灯亮,此状态持续70秒;S1:A方向黄灯亮,B方向红灯亮,此状态持续5秒;S2:A方向红灯亮,B方向绿灯亮,此状态持续70秒;S3:A方向红灯亮,B方向黄灯亮,此状态持续5秒;S4:紧急制动状态,A方向红灯亮,B方向红灯亮,当hold=‘0‘时进入这种状态。

(完整word版)EDA计算器设计大作业

(完整word版)EDA计算器设计大作业

计算器设计专业:电子信息工程设计者:本文介绍了一个简单的计算器的设计,该设计采用了现场可编程逻辑器件FPGA设计,并基于VHDL 语言实现加减乘除功能,并用十进制显示在数码管上.系统由计算部分、输入部分、选择部分、输出部分组成,计算部分为加法器、减法器、乘法器和除法器组成.使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果.通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现.关键字:VHDL,计算器,QuartusII一.实验目的 (4)二、流程图 (5)三.顶层原理图 (5)四、各个模块 (7)(1)加法器模块 (7)1、封装元件 (7)2、加法器程序 (7)3、仿真结果 (8)(2)减法器模块 (9)1、封装元件 (9)2、减法器程序 (10)3、仿真结果 (11)4、硬件运行结果 (11)(3)乘法器模块 (12)1、封装元件 (12)2、乘法器的设计思想 (13)3、乘法器程序 (14)4、仿真结果 (14)5、硬件运行结果 (15)(4)除法器模块 (16)1、封装元件 (16)2、除法器设计思想 (16)3、除法器程序 (16)4、仿真结果 (17)5、硬件运行结果 (17)(5)8位除法器 (18)1、封装元件 (18)2、8位除法器设计思想 (19)3、8位除法器程序 (19)4、仿真结果 (22)(6)数码管七段译码电路 (22)1、封装元件 (22)2、共阴极七段显示码十六进制转换表 (23)3、七段译码器程序 (23)4、仿真结果 (24)(7)选择模块 (24)1、封装元件 (24)2、程序 (25)五、管脚锁定 (26)六、小结与收获 (26)一.实验目的1、熟悉QuartusII软件的相关操作,掌握数字电路设计的基本流程.2、介绍QuartusII 的软件,掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,下载等基本操作。

EDA

EDA
并行赋值语句 总线连接的原理图画法
青岛理工大学计算机工程学院 17
D、用VHDL设计4位计数器
取整数数据类型,为什么?
整数取值范围 端口信号模式取 BUFFER,为什么?
B0
1 0 0 1
A
1 1 0
注意整数和位的不同表达方式! 青岛理工大学计算机工程学院
18
VHDL基本语法小结 4
定输出信号数据类型为整数类型:
进位
8位和
8位加数 8位被加数
青岛理工大学计算机工程学院
溢出进位
16
VHDL基本语法小结 3
预定义运算符加载函数:
STD_LOGIC_UNSIGNED程序包;

标准逻辑位矢量数据类型:
STD_LOGIC_VECTOR( 7 DOWNTO 0)
并置操作符:“
& ”
a <= '1' '0' b(1) e(2) IF a d = "10100011” THEN
STD_LOGIC、BIT、INTEGER、BOOLEA
IF语句,不完整性IF语句特点 时序电路描述
青岛理工大学计算机工程学院
25
青岛理工大学计算机工程学院
26
VHDL综合器易于优化 易构成性能良好的时序逻辑模块
结构模式简单、层次分明、易读易懂、易排错
利用同步时序和全局时钟线可实现高速FSM 运行模式类似于CPU,易于进行顺序控制 时高可靠性,非法状态易控制
敏感信号表,PROCESS语句 特点 IF语句,不完整性IF语句特点

Байду номын сангаас

时序电路描述
青岛理工大学计算机工程学院

EDA工程实践作业习题

EDA工程实践作业习题

EDA工程实践作业习题1.试述在系统编程的要紧步骤。

2.电路在设计之前什么缘故第一要建立工程,目的是什么?3.何谓层次化设计,它的优点是什么?4.菊花链下载软件的作用是什么?5.用逻辑输入法设计一个八进制加法器。

6.用VHDL语言设计一串行数据检测器。

7.用混合输入法设计一交通指挥灯,红、黄、绿三盏灯依次点亮的时刻间隔为红30秒,黄10秒,绿30秒,黄10秒,红30秒……。

输入时钟为20ns。

8.设计一个60进制计数器,并写出测试向量。

9.设计一个{1101}序列检测器。

10.试设计一个数字钟,能显示年、月、日或切换显示时、分、秒,并能实现年、月、日和时、分、秒的校正。

11.设计一个频率计。

要求能够测量1000Hz~10Mz的信号频率。

12. 按照以下要求设置一张电路图纸:图纸尺寸为A4号,水平放置,图纸标题栏采纳标准型。

13.在命令状态下,放大、缩小和刷新画面的快捷键分别是什么?14. 可见栅格、锁定栅格和电路栅格的作用分别是什么?如何对它们进行设定?15.如何样使一组图件同时实现两种排列和平均分布?16. 简述原理图元件库绘图工具栏中各个按钮的作用,并指出与这些按钮相对应的菜单命令。

17. 查看并熟练把握如下常用元器件的封装。

三极管:以TO-+数字封装,例如:TO-18。

可变电阻:以VR+数字封装,例如:VR5。

无极性电容:以RAD +数字封装,例如:RAD0.2。

有极性电容:以RB. 数字/.数字封装,例如:RB.4/.8。

单列直插器件(即电路图中的连接器):以SIP+数字封装,例如:SIP4。

电阻类:以AXIAL+数字封装,例如:AXIAL0.3。

二极管:DIODE +数字封装,例如:DIODE0.4。

双列直插器件:以DIP+数字封装,例如:DIP14。

晶振:XTAL1封装。

电源引线:常用POWER+数字封装,例如:POWER4。

TTL门电路、555定时器:常用双列直插器件封装。

无极性电容:以RAD +数字表示有极性电容:以RB.2/.4等等表示单列直插器件(即电路图中的连接器):以SIP +数字表示电阻类:以AXIAL +数字表示二极管:DIODE +数字表示双列直插器件:以DIP +数字晶振:XTAL1电源引线常用POWER+数字表示TTL门电路、555定时器,常用双列直插器件封装储备器实验与实践1、FPGA中LPM_ROM配置与读出实验一.实验目的1、把握FPGA中lpm_ROM的设置,作为只读储备器ROM的工作特性和配置方法。

(完整word版)《EDA技术实用教程(第五版)》习题答案(第1~10章)--潘

(完整word版)《EDA技术实用教程(第五版)》习题答案(第1~10章)--潘

《EDA技术实用教程(第五版)》习题1 习题1—1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA在ASIC设计中有什么用途?P3~4EDA技术与ASIC设计和FPGA开发有什么关系?答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。

FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。

FPGA在ASIC设计中有什么用途?答:FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。

FPGA 实现ASIC设计的现场可编程器件.1-2 与软件描述语言相比,VHDL有什么特点?P4~6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性.综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计.l—3 什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么?P6什么是综合?答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合.(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。

EDA第三次作业

EDA第三次作业

第三次作业要求设计一个交通灯控制器来控制丁字路口的交通灯,丁字路口如右图所示。

在支路旁边装有一个传感器,用来检测支路是否有车。

两组交通灯,一组控制主路,一组控制支路。

这两组灯是对应的,也就是说,主路绿灯亮时,支路红灯亮:主路黄灯亮时,支路黄灯亮:主路红灯亮时,支路绿灯亮。

图1-1 丁字路口示意图在正常情况下,支路车少,主路车多,所以设计的交通灯控制器要求,在支路无车时,保证主路绿灯亮;而支路有车时,主路和支路的绿灯交替亮。

控制器结构如图1-2所示。

图1-2 交通灯控制器结构示意图其中,clk为时钟信号,上升沿有效:reset是复位信号,高电平有效:sensor为支路传感器信号,是高电平有效,即支路有车时,sensor=l,支路无车时,sensor=0。

输出信号为light1_red、light1_yellow、light1_green、light2_red、1ight2_yellow、light2_green,分别用于控制主路和支路的两组共6个交通灯。

Tclk为一个时钟周期。

规定,传感器信号sensor=1或sensor0的持续时间至少大于2Tclk。

控制器功能要求如下。

(1)支路没有车,主路绿灯一直亮。

(2)支路一直有车,主路和支路交替通行。

主路按照:绿(4Tclk)、黄(2Tclk)、红(4Tclk)、绿(4Tclk)的规律循环。

支路按照:红(4Tclk)、黄(2Tclk)、绿(4clk)、红(4Tclk)的规律循环。

(3)支路从有车变成无车时(sensor=1变成sensor=0),若主路为绿灯,则主路绿灯一直亮下去,支路一直为红灯,直到再次检测到支路有车;若主路为黄灯,则需主路黄灯亮足2Tclk 后再变绿,同时支路黄灯亮足2Tclk后再变红。

状态转换示意图如图1-3所示。

图1-3 主路为黄灯时支路从有车变成无车的状态转换示意图若此时主路为红灯,则主路红灯在clk上升沿到来时立刻变成黄灯,黄灯亮足2Tclk后再变绿。

EDA实验试题16.6(附答案)

EDA实验试题16.6(附答案)

1.用原理图输入法设计一位全加器,进行功能仿真,做出仿真波形。

参考实验一2.用原理图输入法设计一位半加器,进行功能仿真,做出仿真波形。

参考课本61页图3-53.用原理图输入法设计一位全加器,进行下载测试,参考实验一4.用原理图输入法设计一位半加器,进行下载测试,参考课本61页图3-55.用文本输入法设计一个分频电路,并进行功能测试。

以25M为信号源,得到一周期为1秒的方波脉冲。

library ieee; --调用库use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fp is -----实体说明(实体名需与文件名一致)port(inclk:in std_logic; ------端口说明outputa:out std_logic);end fp;architecture arch_fp of fp is ------构造体说明signal fp:std_logic_vector(24 downto 0); ------信号定义signal f:std_logic;beginprocess(inclk) ------进程语句描述if (inclk'event and inclk='1') then ------将时钟分频至1Hzif fp=24999999 thenfp<="0000000000000000000000000";f<=not f;else fp<=fp+1;end if;end if;end process;outputa<=f;end arch_fp; -------构造体结束6.用文本输入法设计一个分频电路,并进行功能测试。

以25M为信号源,得到一周期为2秒的方波脉冲。

library ieee; --调用库use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fp is -----实体说明(实体名需与文件名一致)port(inclk:in std_logic; ------端口说明outputa:out std_logic);end fp;architecture arch_fp of fp is ------构造体说明signal fp:std_logic_vector(24 downto 0); ------信号定义signal f:std_logic;beginprocess(inclk) ------进程语句描述beginif (inclk'event and inclk='1') then ------将时钟分频至1Hzif fp=124999999 thenfp<="0000000000000000000000000";f<=not f;else fp<=fp+1;end if;end if;end process;outputa<=f;end arch_fp; -------构造体结束7.用文本输入法设计一个50倍分频电路,进行功能仿真。

青岛理工大学protel零件封装

青岛理工大学protel零件封装

针对青岛理工大学学生实验室实习常用的电子元器件protel工具作图实现的封装信息汇总青岛理工大学建校于1953年,是一所以工为主,理工结合,土木建筑,机械制造、环境能源学科特色鲜明,理、工、经、管、文、法多学科协调发展,科学教育与人文教育相结合的多科性大学,1993年被批准为硕士学位授予单位,2005年被批准为博士学位授予单位。

青岛理工大学(Qingdao T echnological University)是一所以工为主、理工结合的省属重点大学,本部坐落于美丽的海滨城市青岛。

前身是礼贤书院成立于1931年的高级工程科。

其土木建筑、机械制造、环境能源学科特色鲜明,理工经管文法多学科协调发展的多科性大学。

青岛理工大学是山东省最早设立土木专业的学校。

国家“卓越工程师教育培养计划”高校。

建校以来,学校构筑起本专科、硕士、博士人才培养体系,学校设有18个教学院部,拥有59个本科专业;1个一级学科博士点,7个二级学科博士点,2个一级学科博士后科研流动站;18个硕士学位授权一级学科、59个二级学科硕士点、11个硕士专业学位培养领域(包括资产评估硕士、工程硕士、会计硕士3种硕士专业学位),9个工程硕士专业学位培养领域、16个同等学力申请硕士学位专业;研究生教育涵盖了工学,理学,管理学,经济学,法学,文学,艺术学七大学科门类,在19个一级学科内培养博士和硕士研究生。

为社会培养了9万多名科学工程技术和管理方面的高级人才。

高级工程科1931年青岛著名建筑设计师刘铨法在礼贤中学(原礼贤书院)成立高级工程科(后改称土木工程科)。

招收初中毕业生,学制3年,相当于中等专业学校。

工程科课程除国语、英语、物理、化学等课程外,还开有房屋工程学、测量学、力学、机械原理、工程绘图等课程。

抗日战争胜利以后,工程科招收两个班,报考生源踊跃,又培育出众多人才。

礼贤中学向教育部申报,呈请成立青岛礼贤土木工程专科学校(大专),原普通中学(今青岛九中)作为附属中学。

青岛科技大学EDA实验指导书

青岛科技大学EDA实验指导书

电子电路EDA (实验指导书)闫春娟目录1 QUARTUS II应用指导 (1)1.1基本设计流程 (1)1.1.1 建立工作库文件夹和编辑设计文件 (1)1.1.2 创建工程 (1)1.1.3 编译前设置 (1)1.1.4 全程编译 (2)1.1.5 时序仿真 (2)1.1.6 应用RTL电路图观察器 (2)1.2引脚设置和下载 (2)1.2.1 引脚锁定 (2)1.2.2 配置文件下载 (3)1.3原理图输入设计方法 (3)与文本类似,仅设计输入所采用的编辑器不同。

(3)2 CPLD实验系统简介 (4)2.1“CPLD实验系统”示意图 (4)2.2接口逻辑简述 (5)2.3实验步骤 (5)3设计实践 (6)实验一组合电路的设计 (7)实验二计数器的设计 (8)实验三数控分频器与电子音乐 (9)实验四流水灯控制器的设计 (12)实验五24秒倒计时定时器 (14)1 Quartus II应用指导1.1 基本设计流程1.1.1 建立工作库文件夹和编辑设计文件(1) 新建一个文件夹。

利用Windows资源管理器,新建一个文件夹。

注意:文件夹名不能用中文,也不要全用数字。

(2) 输入源程序。

在文本编辑窗中输入代码。

(3) 文件存盘。

保存在建立的文件夹中,存盘文件名应该与实体名一致。

1.1.2 创建工程(1) 打开建立新工程管理窗口。

找到创建的文件夹,创建工程。

工程名可以取任何其他的名,也可直接用顶层文件的实体名作为工程名(2) 将设计文件加入工程中。

工程文件加入的方法有两种:第一种是单击Add All按钮,将设定的工程目录中的所有HDL文件加入到工程文件栏中;第二种方法是单击“Add …”按钮,从工程目录中选出相关的HDL文件。

(3) 选择仿真器和综合器类型。

如果都选为默认的“NONE”,表示都选Quartus II中自带的仿真器和综合器。

(4) 选择目标芯片。

实验中使用的具体芯片是EPM7128SLC84-15(图2-4)。

EDA实验4消抖电路的顶层设计和移位寄存器的功能仿真

EDA实验4消抖电路的顶层设计和移位寄存器的功能仿真

EDA实验4消抖电路的顶层设计和移位寄存器的功能仿真一、消抖电路的顶层设计:消抖电路的作用是处理来自开关或按钮的电平跳变信号,使得输出信号能够稳定地保持在高电平或低电平状态,从而避免因信号跳动引起的误触发或错误操作。

消抖电路的顶层设计包括输入信号的滤波和输出信号的生成两个主要部分。

输入信号的滤波:在消抖电路的顶层设计中,可以采用滤波电路对输入信号进行滤波处理,以去除短时间内的电平跳动。

常用的滤波电路包括RC滤波器、倒U 型滤波器等。

滤波电路的设计需要根据输入信号的特征和需求进行选择,以实现有效的滤波效果。

输出信号的生成:在消抖电路的顶层设计中,可以采用触发器或门电路等组合逻辑电路来生成稳定的输出信号。

其中,触发器是一种常用的元件,可以根据时钟信号来稳定输出从而消除抖动。

另外,也可以采用门电路来判断输入信号的稳定性,并通过异或门、与门等进行逻辑运算以得到稳定的输出信号。

二、移位寄存器的功能仿真:移位寄存器是一种常用的数字电路模块,主要用于实现数据的移位和存储功能。

在功能仿真中,可以采用Verilog HDL等硬件描述语言来进行仿真。

移位寄存器的主要功能包括数据输入、数据移位、数据输出等。

在功能仿真中,可以通过设置合适的输入数据和时钟信号,观察输出数据的变化情况,验证移位寄存器的功能是否符合设计要求。

以单向移位寄存器为例,其功能仿真的步骤如下:1.设置仿真环境:编写仿真代码,包括移位寄存器的模块声明、端口定义以及时钟信号的生成等。

2.设置输入数据:为移位寄存器的输入端设置合适的数据值,并在仿真代码中对输入进行初始化或赋值。

3.产生时钟信号:根据设计要求,为时钟信号设置合适的频率和波形,使其能够触发移位寄存器的移位操作。

4.运行仿真:根据仿真环境和输入数据,运行仿真过程。

在仿真过程中,需要记录输出端口的值,以便后续分析。

5.分析仿真结果:对仿真结果进行波形图分析,观察输出数据随时间的变化情况。

验证移位寄存器在不同输入条件下的移位和存储功能是否正确。

eda考试试题和答案

eda考试试题和答案

eda考试试题和答案**EDA考试试题和答案**一、单项选择题(每题2分,共20分)1. EDA技术中,“EDA”代表的是以下哪个选项?A. 电子设计自动化B. 电子数据自动化C. 电子设计自动化D. 电子文档自动化答案:A2. 在EDA软件中,用于绘制电路原理图的软件模块通常被称为什么?A. PCB LayoutB. Schematic CaptureC. SimulationD. FPGA Programming答案:B3. 下列哪个不是EDA软件的主要功能?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械设计答案:D4. 在EDA技术中,PCB指的是什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 处理器控制板答案:A5. 在EDA软件中,用于进行电路仿真分析的模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:C6. EDA技术中,FPGA代表什么?A. 现场可编程逻辑阵列B. 固定逻辑阵列C. 现场可编程门阵列D. 固定可编程逻辑阵列答案:C7. 在EDA技术中,以下哪个不是PCB设计的基本步骤?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械加工答案:D8. 在EDA软件中,用于生成PCB版图的软件模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:B9. 在EDA技术中,以下哪个不是电路仿真分析的常用软件?A. PSpiceB. MultisimC. AutoCADD. LTspice答案:C10. EDA技术中,以下哪个不是版图设计中常用的文件格式?A. .dxfB. .gdsC. .pdfD. .drill答案:C二、多项选择题(每题3分,共15分)11. EDA技术中,以下哪些是电路仿真分析时需要考虑的因素?A. 元件模型B. 电源电压C. 机械结构D. 温度变化答案:A, B, D12. 在EDA软件中,以下哪些是版图设计时需要考虑的因素?A. 元件布局B. 走线宽度C. 电源管理D. 信号完整性答案:A, B, D13. EDA技术中,以下哪些是FPGA设计时需要考虑的因素?A. 逻辑门数量B. 时钟频率C. 电源管理D. 散热设计答案:A, B, C, D14. 在EDA技术中,以下哪些是PCB设计时需要考虑的因素?A. 层数B. 板厚C. 元件封装D. 机械加工答案:A, B, C15. EDA技术中,以下哪些是电路设计时需要考虑的因素?A. 信号完整性B. 电源完整性C. 电磁兼容性D. 机械兼容性答案:A, B, C三、判断题(每题2分,共10分)16. EDA技术可以完全替代传统的手工电路设计方法。

EDA实验报告(包含多个实验)

EDA实验报告(包含多个实验)

海南大学EDA实验报告学院:信息科学与技术学院专业班级:09理科实验班课程:EDA任课教师:***姓名:***学号:**************实验一 MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

4位全加器设计一个4位全加器可以由4个1位全加器构成,如图1.1所示,1位的全加器串行联接可以实现4位的二进制全加器。

图1.1 4位全加器电路原理图1位全加器可以由两个半加器和一个或门构成,如图1.2所示。

图1.2 全加器电路原理图1位半加器可以由与、或、非等基本门构成,如图1.3所示。

图1.3 半加器电路原理图根据实验原理中,采用层次法设计一个4位全加器。

四、实验步骤1、如图1.3所示,利用MAX-plusⅡ中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

注意:编译之前必须将文件设为当前文件。

2、建立一个更高得原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

3、再建立一个更高得原理图设计层次,如图1.1所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。

五、实验报告要求:详细描述4位全加器的设计过程,给出各层的电路原理图、元件图(原理图)以及对应的仿真波形;给出加法器的延时情况;最后给出硬件测试的流程和结果。

1)半加器图半加器仿真图2)全加器图全加器仿真图3)四位全加器仿真图实验二十进制计数器一、实验目的学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。

二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。

通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。

2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。

常见的组合逻辑电路有加法器、编码器、译码器等。

3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。

常见的时序逻辑电路有计数器、寄存器等。

四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。

2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。

3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。

五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。

(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。

(3)对设计进行编译,检查是否存在语法错误。

(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。

2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。

(2)编写测试代码,对计数器进行仿真验证。

(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。

3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。

(2)进行编译和仿真,确保译码器的功能正确。

(3)将译码器与计数器连接起来,实现数码管的动态显示。

青岛理工大学--研究生单片机作业

青岛理工大学--研究生单片机作业

单片机原理、接口及应用一、概述二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。

不过,这种电脑,通常是指个人计算机,简称PC机。

它由主机、键盘、显示器等组成。

还有一类计算机,大多数人却不怎么熟悉。

这种计算机就是把智能赋予各种机械的单片机。

顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。

它的出现是近代计算机技术发展史上的一个重要里程碑,因为它体积小,通常都藏在被控机械的“肚子”里。

它在这个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。

单片机具有体积小、功能强、应用面广等优点,目前正以前所未见的速度取代着传统电子线路构成的经典系统,蚕食着传统数字电路与模拟电路固有的领地。

它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。

同时,学习使用单片机了解计算机原理与结构的最佳选择。

现在,这种单片机的使用领域已十分广泛。

彩电、冰箱、空调、录像机、VCD、遥控器、游戏机、电饭煲等无处不见单片机的影子,单片机早已深深地融入我们每个人的生活之中。

单片机能大大地提高这些产品的智能性,易用性及节能性等主要性能指标,给我们的生活带来舒适和方便的同时,在工农业生产上也极大地提高了生产效率和产品质量。

单片机按用途大体上可分为两类,一种是通用型单片机,另一种是专用型单片机。

单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。

概括的讲,一块芯片就成了一台计算机。

MCS-51单片机是美国INTEL公司于1980年推出的产品,与MCS- 48单片机相比,它的结构更先进,功能更强,在原来的基础上增加了更多的电路单元和指令,指令数达111条,MCS-51单片机可以算是相当成功的产品,一直到现在,MCS-51系列或其兼容的单片机仍是应用的主流产品,各高校及专业学校的培训教材仍与MSC-51单片机作为代表进行理论基础学习。

EDA大作业

EDA大作业

EDA技术课程大作业目录1. 设计背景2. 设计方案2.1 计时模块功能2.2 计时模块系统组成框图2.3 设计思路3. 数字秒表计时模块的VHDL源程序3.1 十进制计数器的VHDL源程序(CDU10.VHD)3.2 六进制计数器的VHDL源程序(CDU6.VHD)3.3 计时器的VHDL源程序(COUNT.VHD)4. 源程序总体描述5. 波形仿真图6. 总结1、设计背景在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。

在此次EDA课程,我的设计课题是数字秒表——计时模块,根据要求使用平时所学VHDL语言进行编程完成。

报告书主要由设计背景、设计方案、模块介绍、设计源程序、仿真波形图和管脚锁定及硬件连线四部分组成。

设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。

数字电路主要是基于两个信号,用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。

EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

2、设计方案数字秒表的计时模块,其总体功能如下:数字秒表的计时范围是0秒到59分59.59秒,显示的最长时间为59分59。

数字秒表的计时精度是10ms。

复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备。

青岛理工理学院高频电子线路试题及答案

青岛理工理学院高频电子线路试题及答案

一、选择、填空(20分)1、对功率电子线路的要求是 安全 、 高效 和 失真小2、谐振功放电路中滤波匹配网络的作用是 滤波 和 阻抗匹配 。

3、利用谐振功率放大器的集电极调制特性实现AM 时,功率放大器应选择在 (a ) 状态工作。

(a )、欠压 (b )、过压 (c )、临界4、振荡器的振幅起振条件和相位稳定条件为 (b) (a )、1)(,2n )(==ωπωφT T (b )、,0)(,1)(<∂∂〉=os c T o s c T ωωωωφω (c )、.0)(,2n )(〈∂∂=iaVi osc T V T ωπωφ5、单音调制的AM 波,已知调制信号频率为Ω,载波频率为c ω,则该调幅波的频谱由 3 个频率分量组成:频率为 c ω的 载波 分量、频率为Ω+c ω的 上边频 分量和频率为Ω-c ω的下边频 分量、6、二极管峰值包络检波器,原电路正常工作。

若负载电阻加倍,会引起 (c) (a )、惰性失真 (b )、负峰切割失真 (c )、惰性和负峰切割失真7、频率为100MHz 的载波信号被频率为2 kHz 的正弦信号调频,30kHz m =∆f ,则调频信号的调制度M f = 15 , 带宽BW CR = 64或60 kHz 。

8、变容管全部接入直接调频电路,若实现线性调频,变容管的变容指数应 (a) (a )、等于2 (b )、大于2 (c )、小于29、频率为200MHz 的载波信号被频率为4 kHz 的正弦信号调频,40kHz m =∆f ,则调频信号的调制度M f = 10 , 带宽BW CR = 88 kHz 。

10、某接收机中频465kHz I =f ,输入信号载频550kHz c =f ,则频率1480 kHz 干扰频率为 (c)(a )、干扰哨声 (b )、中频干扰 (c )、镜像干扰。

二、分析、改错题(30分)1(A )、(10分)一谐振功放设计在临界工作状态,经测试得输出功率P O 仅为设计值的60%,而I c0却略小于设计值。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA上机实验报告
实验1:T触发器
一、实验目的
1、认识T触发器。

2、掌握T触发器的逻辑功能和动作特点。

3、利用VHDL软件实现具有T触发器的数字电路。

二、实验原理
设计的是一个不带置位的T触发器,数据输入端为t,时钟输入端为clk,两个反相的输出端a,b。

当t=0时,T触发器保持前一状态的值;
当t=1时,T触发器状态在时钟边沿(上升沿)的作用下发生翻转。

三、程序及仿真图
library ieee;
use ieee.std_logic_1164.all;
entity T is
port(t, clk: in std_logic;
a: out std_logic;
b: out std_logic);
end T;
architecture Behavioral of T is
signal buf: std_logic:= '0';
begin
process(clk)
begin
if clk' event and clk = '1' then
if(t ='1') then
buf <= not buf;
else
buf <= buf;
end if;
end if;
end process;
a <= buf;
b <= not buf;
end Behavioral;
信号t的设置:
参数设置:
仿真图:
四.实验遇到的难点以及解决方法
开始输入程序的时候,综合总是显示正确,但是无法仿真成功,究其原因是没有对信号buf赋初值。

在程序综合的时候,信号的初
值不是必须的,综合时初值是被忽略的,如果没有给信号buf赋初值,可成功综合但不能成功仿真,一定要注意这一点。

实验2函数发生器
一、实验原理及方法
脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是
要产生一个周期和占空比可变的脉冲波形。

可控脉冲发生器的
实现原理比较简单,可以简单的理解为一个计数器对输入的时
钟信号进行分频的过程。

通过改变计数器的上线来达到改变周
期的目的,通过改变电平翻转的阀值来达到改变占空比的目的。

二、VHDL实现
1、程序实现过程:
entity hanshu is
port(clk, reset: in std_logic;
q: out std_logic_vector(7 downto 0));
end hanshu;
architecture a of hanshu is
begin
process(clk,reset)
variable tmp: std_logic_vector(7 downto 0); variable a: std_logic;
begin
if reset = '0' then
tmp:= "00000000";
elsif rising_edge(clk) then
if a = '0' then
if tmp = "11111111" then
tmp:= "11111110";
a:= '1';
else
tmp:= tmp + 1;
end if;
else
if tmp = "00000000" then
tmp:= "00000001";
a:= '0';
else
tmp:= tmp - 1;
end if;
end if;
end if;
q<=tmp; end process;
end a;
2 参数设置
3 波形设置
4仿真图
三、实验总结
通过本次试验,自己参考课本加上自己的理解,完成了T触发器和三角函数发生器的原理设计和仿真。

一开始只是自己在瞎弄,后来通过老师和同学们的讲解我终于明白了。

从整体上对仿真有了深刻的了解,特别是对VHDL语言,掌握了EDA设计方法。

在仿真函数的过程中,慢慢掌握了ISE10.1开发软件的使用。

不过在最开始
的时候,程序对参数的设置,确实是费了不少时间。

但是觉得还是非常值得的。

相关文档
最新文档