实验一 伪随机码发生器实验
伪随机码发生器研究与设计
伪随机码发生器的研究与设计Pseudo-random code generator Research and Design摘要伪随机序列产生技术是集数学、计算机科学、电子与通信等诸多学科于一身的技术,其产生技术自上世纪末至今一直是国内外的研究热点并取得了不少的成果。
伪随机码越来越受到人们的重视,被广泛应用于导弹、卫星、飞船轨道测量和跟踪、雷达、导航、移动通信、保密通信和通信系统性能的测量以及数字信息处理系统中。
目前国内外均有项目研究提高伪随机序列发生器可靠性、状态利用率等问题。
本课题介绍了伪随机码的应用和研究概况,研究了伪随机码的产生方式和产生原理,并以此为基础阐述了一种基于移位寄存器的m序列伪随机码发生器的设计与实现的方法。
最终在使用集成电路的前提下,先分析由移位寄存器电路构成的伪随机序列发生器的设计方法,分步设计了移位寄存器电路和同步复位信号发生电路;再通过一系列的误差和可靠性调整设计,最终用小规模集成电路和外加时钟信号设计实现了线性反馈移位寄存器产生周期P=15的m序列,并且给出了完整的实现电路和时序分析结果。
关键词:伪随机码,绕码,m序列,移位寄存器ABSTRACTPseudo-random sequence generation technique is a mathematics, computer science, electronics and communication, and many other subjects in one of the technology, its production technology since the end of the century has been the research focus at home and abroad and made a lot of results.Pseudo-random code more and more attention, is widely used in missiles, satellites, spacecraft orbit measurement and tracking, radar, navigation, mobile communications, secure communications and communication system performance measurement and digital information processing system. Research projects at home and abroad are pseudo-random sequence generator to improve reliability, availability status and other issues.This topic describes the application of pseudo-random code and research overview of the pseudo-random code generation means and generating principle, and described as the basis for an m-sequence shift register based pseudo-random code generator of the design and implementation Approach. Final premise in the use of integrated circuits, the first shift register circuits of the pseudo-random sequence generator design, step by step design of the shift register circuit and the synchronous reset signal circuit; then through a series of errors and reliable Adjustment design, end-users and small-scale integrated circuit design of the clock signal applied to achieve a linear feedback shift register generating cycle P = 15 m-sequence and provides a complete implementation of the circuit and timing analysis. Key words:Pseudo-random code,Around the code, m sequence,Shift register目录摘要 (Ⅰ)ABSTRACT (Ⅱ)目录 (Ⅲ)1 绪论 (1)1.1 伪随机序列的研究概况 (1)1.2 伪随机序列的应用领域及其意义 (1)1.3 课题研究内容与难点 (2)2 伪随机序列发生器 (3)2.1 伪随机序列的定义及其特点 (3)2.2 伪随机序列的产生 (3)2.3 伪随机序列反馈函数 (4)3伪随机码发生器电路设计 (7)3.1 移位寄存器电路设计 (7)3.2置数功能电路设计 (7)3.3可靠性附加电路设计 (8)3.4元器件选型 (10)3.5整体电路图 (10)4电路时序分析 (12)4.1移位寄存器电路时序分析 (12)4.2完整电路时序分析 (12)结束语 (14)参考文献 (15)附录芯片逻辑引脚图及各型号性能对比 (16)致谢 (17)1 绪论1.1 伪随机码的研究概况伪随机码又称伪随机序列或伪噪声序列。
伪随机码生成器
M序列发生器M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。
带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。
其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。
他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。
以4级移位寄存器为例,线性反馈结构如下图:4级以为寄存器反馈图其中a4=a1+a0信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。
实验仿真Code:library IEEE;use IEEE.STD_LOGIC_1164.ALL;-- Uncomment the following library declaration if using-- arithmetic functions with Signed or Unsigned values--use IEEE.NUMERIC_STD.ALL;-- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity random_4 isPort ( clk : in STD_LOGIC;reset : in STD_LOGIC;din : in STD_LOGIC_VECTOR (3 downto 0);dout : out STD_LOGIC_VECTOR (3 downto 0);load : in STD_LOGIC);end random_4;architecture Behavioral of random_4 issignal rfsr :std_logic_vector(3 downto 0);--signal temp:std_logic;beginprocess(clk,reset,load,din)beginif (reset ='1') thenrfsr <=(others =>'0');elsif (clk' event and clk='1') thenif(load ='1') then ----load =1rfsr<= din;elserfsr(3) <= rfsr(0) xor rfsr(1);rfsr(2 downto 0) <= rfsr(3 downto 1);end if;end if;end process;------signal rename----dout <= rfsr;end Behavioral;testbench:LIBRARY ieee;USE ieee.std_logic_1164.ALL;-- Uncomment the following library declaration if using-- arithmetic functions with Signed or Unsigned values--USE ieee.numeric_std.ALL;ENTITY random_testbench ISEND random_testbench;ARCHITECTURE behavior OF random_testbench IS-- Component Declaration for the Unit Under Test (UUT)COMPONENT random_4PORT(clk : IN std_logic;reset : IN std_logic;din : IN std_logic_vector(3 downto 0);dout : OUT std_logic_vector(3 downto 0);load : IN std_logic);END COMPONENT;--Inputssignal clk : std_logic := '0';signal reset : std_logic := '0';signal din : std_logic_vector(3 downto 0) := (others => '0'); signal load : std_logic := '0';--Outputssignal dout : std_logic_vector(3 downto 0);-- Clock period definitionsconstant clk_period : time := 10 ns;---variablesignal cnt: integer :=0;BEGIN-- Instantiate the Unit Under Test (UUT)uut: random_4 PORT MAP (clk => clk,reset => reset,din => din,dout => dout,load => load);-- Clock process definitionsclk_process :processbeginclk <= '0';wait for clk_period/2;clk <= '1';wait for clk_period/2;end process;-- Stimulus processstim_proc: process(clk)begin-- hold reset state for 100 ns. if(cnt = 0) then--initializationreset <= '1' after 100 ps;load <= '1' after 100 ps;din <="0001";cnt <= cnt +1;---elsif(cnt =1) thenreset <= '0' after 100 ps;load <= '1' after 100 ps;din <="0001";cnt <= cnt +1;elsif(clk' event and clk ='1') then reset <= '0' after 100 ps;load <= '0' after 100 ps;din <="0001";---executuecnt <= cnt +1;if(cnt = 100) thencnt <= 2;end if;end if;end process;END;。
通信原理实验-实验一 信号发生器系统实验
实验一信号发生器系统实验一、实验内容1.用内时钟信号源产生的信号作为总时钟输入,分别分析各级电路,并测出各测量点波形。
2.分析伪随机码发生器的工作原理。
3. 掌握数字基带各种信号的定义与产生方法,观察各点波形。
4. 熟悉时分复用信号的产生与帧同步信号集中插入的方法,观察各点波形。
5. 掌握用函数发生器产生正弦波和三角波的方法,观察并调节8038的输出波形。
6.掌握各输出信号在整个系统中的作用。
二、实验分析本实验的信号发生器分为三个独立的部分:①以 4.096MHz晶振为中心的时钟信号产生部分②以4.433MHz晶振为中心的数字信号产生部分③以8038函数发生器为中心的模拟信号产生部分。
信号发生器的作用是提供实验箱各实验系统的各种时钟信号和其它有用信号及测试信号,其各部分的工作原理如下:(1)时钟信号产生部分:产生不同频率的方波、伪随机序列及其他脉冲信号用以作为后续实验各个模块的时钟信号和基带信号。
(2)数字信号产生部分:产生六种基带信号NRZ、RZ、BNRZ、BRZ、BPH、AMI。
(3)模拟信号产生部分:输出方波、三角波、正弦波等波形。
三、实验结果1、时钟信号产生部分的测量:TP007(蓝色-下)与TP006(黄色-上)在1、2引脚跟2、3引脚下的波形图如下所示: 1、2引脚 2、3引脚2、数字信号产生部分的测量:(1)TP011的波形:(2)TP012(下)的波形(与TP011(上)双踪):(3)TP013的波形(与TP011双踪)拨码开关SW001、SW002、SW003的设置分别为: 1000 0000 1100 0000 1110 0000。
(3)TP014的波形(与TP013双踪)(4)TP015(下)的波形(与TP013双踪):(5)TP016(下)的波形(与TP013双踪)(6)TP017(下)的波形(与TP013双踪):(7)TP018(下)的波形(与TP013双踪)。
伪随机码产生器实验
实验八伪随机码产生器实验一、实验实训目的1.了解扩频通信的原理2.掌握伪随机序列——m序列产生器的结构二、实验原理与说明信号的频带宽度与其脉冲宽度近似成反比。
很窄的脉冲序列的带宽很宽,因此如果用很窄的脉冲序列对所传信息进行调制,则可产生很宽频带的信号。
CDMA 蜂窝网移动通信系统就是采用这种方式获得扩频信号的。
所用的这种很窄的脉冲码序列称为扩频码序列。
用很窄的脉冲序列对所传信息进行调制的一种方式就是直接序列扩频。
直接序列扩频系统采用的很窄的脉冲序列——伪随机码在发端对要发送的信息码进行频谱展宽——扩频,在收端用相同的伪随机码序列进行解扩,然后将展宽的扩频信号还原成原始信息。
作为扩频码的伪随机码具有类似白噪声的特性,可以用一种周期性的脉冲信号来近似随机噪声的性能,称之为伪随机码或PN码。
用于扩频码的伪随机码常用的m序列。
m序列容易产生、规律性强等优良特性,目前的CDMA系统就是采用这种PN 序列---m序列来进行扩频通信的。
m序列是最长线性移位寄存器的简称,m序列具有与伪随机噪声类似的尖锐自相关特性,但它不是真正随机的,而是按照一定规律周期性变化,它的周期P=2n-1。
n称之为m序列的阶数,也是构成m序列产程器所用移位寄存器的级数。
可以用硬件电路来实现一个m序列。
以最简单的n=3的三级移位寄存器构成的m序列发生器如图1所示。
移位寄存器是D触发器(如74163),在时钟脉冲CP上升沿到来时,输出Q等于输入D。
中间第二、三级移位寄存器的输出Q2和Q3经模2加(异或)电路后反馈到第一级移位寄存器的输入D1端,构成反馈电路。
当初始状态Q1Q2Q3为111时,在时钟脉冲的控制下,各输出端的输出数据如表1所示,得到输出周期为P=23-1=7的码序列1110010。
在输出一个周期的序列后,Q1Q2Q3又回到111状态。
在时钟的控制下,输出序列做周期性的重复。
1110010就是一个周期是7的m序列。
产生周期为P=2n-1的m序列的方法类似。
专业实验类:伪随机信号发生器
H a r b i n I n s t i t u t e o f T e c h n o l o g yEDA技术高级应用实验报告姓名:禾小鬼同组人:学号:16S班级:信息2班指导教师:xxx院系:电信学院实验二伪随机信号发生器一、实验原理实验要求设计一个伪随机信号发生器,什么是伪随机信号发生器?如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。
因此可以说,伪随机序列是具有某种随机特性的确定的序列。
它们是由移位寄存器产生确定序列,然而他们却具有某种随机序列的随机特性。
因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。
伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。
这些特性使得伪随机序列得到了广泛的应用,特别是在CDMA系统中作为扩频码已成为CDMA技术中的关键问题。
特性为序列中两种元素出现的个数大致相等;如果把n个元素连续出现叫做一个长度为n的元素游程,则序列中长度为n的元素游程比长度为n+1的元素游程多一倍;序列有类似白噪声的自相关函数。
实验指导书上已经给出一个4位伪随机信号发生器的原理图,如图1所示,一个4位的移位寄存器,第1级和第4级的输出信号通过一个异或门反馈到第1级的输入。
随着连续的时钟周期信号,可以生成15个不同的测试向量。
图1 伪随机二进制序列产生器在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“伪随机信号发生器”。
首先设计一个4位伪随机信号发生器,按照图1所示连接电路即可。
然后,扩展到多位。
二实验过程和结果1.第一步:建立一个新的工程新建工程的过程中,最重要的是设置器件,不同的器件的,设计之间并不兼容。
会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。
伪随机序列发生器
伪随机序列发生器一、实验目的:理解伪随机序列发生器的工作原理以及实现方法,掌握MATLAB\DSP BUILDER设计的基本步骤和方法。
二、实验条件:1. 安装WindowsXP系统的PC机;2. 安装QuartusII6.0 EDA软件;的序列发生器,并通⒈ ⒉ ⒊⒋⒌⒍⒎⒏⒐ ⒑ ⒒⒓⒔⒕⒖⒗四、实验原理:对于数字信号传输系统,传送的数字基带信号(一般是一个数字序列),由于载有信息,在时间上往往是不平均的(比如数字化的语音信号),对应的数字序列编码的特性,不利于数字信号的传输。
对此,可以通过对数字基带信号预先进行“随机化”(加扰)处理,使得信号频谱在通带内平均化,改善数字信号的传输;然后在接受端进行解扰操作,恢复到原来的信号。
伪随机序列广泛应用与这类加扰与解扰操作中。
我们下面用DSP BUILDER来构建一中伪随机序列发生器——m序列发生器,这是一种很常见的伪随机序列发生器,可以由线性反馈器件来产生,如下图:其特征多项式为:()∑==ni i i x C x F 0注:其中的乘法和加法运算都是模二运算,即逻辑与和逻辑或。
可以证明,对于一个n 次多项式,与其对应的随机序列的周期为。
12−n 接下来我们以为例,利用DSP BUILDER 构建这样一个伪随机序列发生器。
125++x x开Simulink 浏览器。
Simulink我们可以看到在Simulink 工作库中所安装的Altera DSP Builder 库。
2. 点击Simulink 的菜单File\New\Model 菜单项,新建一个空的模型文件。
3. 按照下图在Model编辑器的工作区中放置如下的模型:其中Logical Bit Operator模块在Gate & Control库中,把它拖到工作区中后双击打开参数设置对话框,设置成2输入异或门。
为了能够在Matlab中获得仿真结果,可以给输出再添加一个示波器Scope,这个模型在Simulink标准库的Sources库中。
实验一CMI、PN码型变换实验
实验一CMI、PN码型变换实验一、实验目的1.熟悉光纤通信传输实验系统中信号发生器的组成原理、光发送端信号产生的方法。
2.了解单片机在光纤通信传输系统中的应用以及该单元电路对整个光纤实验系统的管理与控制过程。
3.掌握伪随机码(PN)发生器的工作原理和实验方法。
4.了解光纤通信采用的线路码型。
5.掌握传号烦转码(CMI)的特点,并了解其编码方法。
6.熟悉示波器的使用。
二、实验仪器1.光纤通信传输系统实验箱一台2.20MHz示波器一台三、实验内容与步骤1.连接电源线,按下电路分路开关PA、PB。
发光二极管D1、D2、D3、D4亮,表明实验箱上±5V 和±12V电源工作正常;2.按下“复位”键,使系统处于复位状态。
此时发光二极管D5~D12依次循环点亮,表明实验系统中的中央处理器电路进入正常工作状态;3.用示波器测出图中各测试点(TP101、TP102、TP103、TP104)以及测试点(89C51的主时钟TP1、89C51的地址锁存信号TP2)的波形;4.按下“PN”键,再按“确认”键,PN码对应的发光二极管D8闪烁,表示系统工作于PN码状态;5.用示波器测出图中各测试点(TP109、TP110)的波形,并做纪录;6.按下“复位”键,使系统处于复位状态。
按下“CMI”键,再按“确认”键,CMI码对应的发光二极管D7闪烁,表示系统工作于CMI码状态;7.用示波器测出图中各测试点(TP109、TP110、TP111、TP112、TP113、TP114、TP115)的波形,并做纪录。
四、实验报告要求1.分析伪随机码发生器的工作原理。
2.分析CMI码编码电路的工作原理。
3.比较CLK时钟、PN码、CMI码的波形,并对波形加以分析。
课题设计一 伪随机码产生和码变换综合设计实验报告
南昌大学实验报告
学生姓名:学号:专业班级:
实验类型:□验证□综合■设计□创新实验日期: 6.2 实验成绩:课题设计一伪随机码产生和码变换综合设计
一、实验目的
1、了解数字信号的波形特点;
2、掌握D触发器延时设计数字电路的原理及方法;
二、设计要求
设计一个7位伪随机码发生器并将绝对码转化为相对码。
三、设计思路
在实验一中,已经完成了15位32KHz的伪随机码仿真电路,它是由4个D触发器实现的。
4个触发器自然就能产生24-1位的伪随机码。
而课题要求我们设计7位伪随机码,同理要用到log2(7+1) = 3个触发器。
相对码是根据绝对码是否发生变化而决定是“0”还是“1”的。
所以可以采用D触发器的延时特性来实现信号自身和前一时刻的比较。
将7位伪随机码输入到一个D触发器进行一个码元的延时,再同自身进行异或,就能得到相对码。
四、实验仿真电路及波形
1、7位伪随机码产生电路及波形
7位伪随机码产生电路
7位伪随机码波形(0101110) 2.绝对码变相对码电路及波形
绝对码变相对码电路
相对码输出波形(0111001)
五、实验心得及体会
本次课题设计课参考实验一来设计,比较简单,但在设计过程中还是得认真仔细,理解原理,才能得出符合要求的设计结果。
伪随机序列发生器设计
实验七伪随机序列发生器设计一、实验目的1.掌握伪随机序列(m序列)发生器的基本原理和设计方法;2.深入理解VHDL中signal和variable的不同及其应用;二、设计描述及方法1.伪随机序列概述在扩展频谱通信系统中,伪随机序列起着十分关键的作用。
在直接序列扩频系统得发射端,伪随机序列将信息序列的频谱扩展,在接收端,伪随机序列将扩频信号恢复为窄带信号,进而完成信息的接收。
m序列又称为最长线形反馈移位寄存器序列,该序列具有很好的相关性能。
m序列发生器的基本结构为:其中(C r,C r-1,…,C0)为反馈系数,也是特征多项式系数。
这些系数的取值为“1”或“0”,“1”表示该反馈支路连通,“0”表示该反馈支路断开。
下图为实际m序列发生器的电路图:图中利用D触发器级联的方式完成移位寄存器的功能。
在系统清零后,D触发器输出状态均为低电平,为了避免m序列发生器输出全“0”信号,图中在“模二加”运算后添加了一个“非门”。
从图中A、B、C、D四个节点均可得到同一m序列,只是序列的初始相位不同。
特征多项式系数决定了一个m序列的特征多项式,同时也决定了一个m序列。
下表给出了部分m 序列的反馈系数(表中的反馈系数采用八进制表示)2.电路设计基本方法本实验要设计一个寄存器级数为5的m序列发生器,从m序列发生器反馈系数表可知,有三个反馈系数可选,即可以产生三种不同的m序列;在以下设计的接口描述中choice(1 downto0)为m序列选择输入信号,clk为时钟输入信号,reset为复位信号,psout为m序列输出信号。
三、程序代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mps isport (clk,reset : in std_logic;choice : in std_logic_vector(1 downto 0);psout: out std_logic );end mps;architecture behave of mps issignal a :std_logic_vector(4 downto 0);signal k:std_logic;beginprocess(clk,reset,choice,a)begincase choice iswhen "00"=> k<=not(a(3) xor a(2));when "01"=> k<=not(a(4) xor a(3)xor a(2)xor a(0));when "10"=> k<=not(a(4) xor a(2)xor a(1)xor a(0));when others =>k<='X';end case;if clk'event and clk='1' thena(0)<=k;for i in 1 to 4 loopa(i)<=a(i-1);end loop;end if;if(reset='1') thena<="00000";end if;end process;psout<=a(4);end behave;四、功能仿真五、RTL级电路。
实验一伪随机码发生器实验
实验一 伪随机码发生器实验一、实验目的1、 掌握伪随机码的特性。
2、 掌握不同周期伪随机码设计。
3、 用基本元件库和74LS系列元件库设计伪随机码。
4、 了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。
5、 学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。
6、 熟悉通信原理实验板的结构。
二、实验仪器1、 计算机 一台2、 通信基础实验箱 一台3、 100MHz 示波器 一台三、实验原理伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源;扰码;误码测试;扩频通信;保密通信等领域。
伪随机码的特性包括四个方面:1、 由n 级移位寄存器产生的伪随机序列,其周期为-1; n 22、 信码中“0”、“1” 出现次数大致相等,“1”码只比“0”码多一个;3、 在周期内共有-1游程,长度为 i 的游程出现次数比长度为 i+1的 游程出现次数多一倍;n 24、 具有类似白噪声的自相关函数,其自相关函数为:()()⎩⎨⎧−≤≤=−−=221012/11n nτττρ其中n 是伪随机序列的寄存器级数。
例如:四级伪码产生的本原多项式为X 4+X 3+1。
利用这个本原多项式构成的4级伪随机序列发生器产生的序列为:1 1 1 1 0 0 0 1 0 0 1 1 0 1 0相应的波形图如图1-1所示:图1-1 四级伪随机序列波形图用4个D 触发器和一个异或门构成的伪码发生器具有以下特性: 1、 周期为24-1=15;2、 在周期内“0”出现24 -1-1=7次,“1”出现24 -1=8次;3、 周期内共有24 -1 =8个游程;4、 具有双值自相关特性,其自相关系数为:⎩⎨⎧−≤≤−−==221)12(10144τ / τ ρ(τ)四、实验内容及步骤1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计电路原理图如图1-2所示。
图1-2 四级伪随机码电路原理图在MAXPLUS II 环境下输入上述电路,其中: dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚 1.2 实验电路编译及FPGA 引脚定义完成原理图输入后按以下步骤进行编译:(1) 在Assign Device 菜单选择器件MAX7128SLC84。
PN(伪随机码)码发生器的设计(精品文档)
通信系统专业课程设计一.课题名称:PN(伪随机码)码发生器的设计二.设计目的:1、巩固加深对电子线路的基本知识,提高综合运用专业知识的能力;2、培养学生查阅参考文献,独立思考、设计、钻研专业知识相关问题的能力;3、通过实际制作安装电子线路,学会单元电路以及整机电路的调试与分析方法;4、掌握相关电子线路工程技术规范以及常规电子元器件的性能技术指标;5、了解电气图国家标准以及电气制图国家标准,并利用电子CAD正确绘制电路图;6、培养严肃认真的工作作风与科学态度,建立严谨的工程技术观念;7、培养工程实践能力、创新能力和综合设计能力。
三.设计要求:1、通信系统的原理框图,说明系统中各主要组成部分的功能;2、根据选用的软件编好用于系统仿真的测试文件;3、拟采用的实验芯片的型号可选89c51、TSC 5402、5416、2407及ALTERA的EPM7128CPLD或EP1K30进行硬件验证;4、独立完成课程设计报告,严禁报告内容雷同;5、电路图中的图形符号必须符合国家或国际标准。
四.所用仪器设备:Altera的MAX 7000S系列芯片;方正文祥电脑。
五.设计内容:1、伪随机序列产生原理及作用:随着通信理论的发展,早在20世纪40年代,香农就曾指出,在某些情况下,为了实现最有效的通信,应采用具有白噪声的统计特性的信号。
另外,为了实现高可靠的保密通信,也希望利用随机噪声。
然而,利用随机噪声最大困难是它难以重复产生和处理。
直到60年代,伪随机噪声的出现才使这一难题得到解决。
伪随机噪声具有类似于随机噪声的一些统计特性,同时又便于重复产生和处理。
由于它具有随机噪声的优点,又避免了它的缺点,因此获得了日益广泛的应用。
目前广泛应用的伪随机序列都是由数字电路产生的周期序列得到的,我们称这种周期序列为伪随机序列。
对与伪随机序列有如下几点要求:①应具有良好的伪随机性,即应具有和随机序列类似的随机性;②应具有良好的自相关、互相关和部分相关特性,即要求自相关峰值尖锐,而互相关和部分相关值接近于零。
伪随机序列发生器设计
伪随机序列发生器设计伪随机序列发生器(PRNG)是一种通过算法生成的看似随机的数字序列。
与真随机序列不同,伪随机序列是在一定条件下按照确定性算法生成的。
在计算机系统中,PRNG具有广泛的应用,包括密码学、模拟、游戏等领域。
本文将讨论如何设计一个伪随机序列发生器。
1.随机性的度量:在设计PRNG之前,我们需要了解随机性的度量。
一个好的伪随机序列发生器应该具有高周期性(周期长度大),均匀性(数字出现的频率相似)和不相关性(序列中的数字之间没有明显的关联)。
周期指的是序列重复之前所产生的数字数量。
均匀性可以通过观察数字出现的频率和统计分析来评估。
不相关性指的是序列中的任意两个数字之间没有明显的关联。
2.线性反馈移位寄存器(LFSR):LFSR是一种常见的PRNG设计方法。
它由一个位寄存器和一些异或门组成。
位寄存器中的每个位都线性地与寄存器中的其他位进行异或运算,并且每次循环位寄存器向右移位。
输入位是通过将一些位与1或0常量进行异或来确定的。
3.LFSR的优化:为了改善LFSR的性能,在设计中可以考虑一些优化措施。
一种常见的优化措施是增加反馈函数的复杂性。
通过使用非线性函数,可以在相同的位数下获得更长的周期。
另一个优化措施是根据需要选取适当的位数。
位数越大,周期越长,但计算成本也增加。
4.添加非线性元素:为了进一步提高伪随机序列的质量,我们可以添加一些非线性元素。
一种方法是使用置换函数。
置换函数将输入映射到不同的输出,从而增加了数字之间的不相关性。
另一种方法是使用哈希函数。
哈希函数将输入的随机性扩展到更大的空间中,并通过混淆输入来减少数字之间的关联。
5.分析和测试:设计一个PRNG后,我们需要对其进行分析和测试。
常用的测试方法包括统计测试、重复序列测试和关联测试。
统计测试可以用于检查输出数字的频率。
重复序列测试可以用于验证序列中是否存在重复数字。
关联测试则是用于检查序列中数字之间的关联性。
总结:设计一个高质量的伪随机序列发生器需要考虑随机性度量、LFSR和优化、非线性元素的添加以及分析和测试的步骤。
伪随机码脉冲信号发生器电路设计
伪随机码脉冲信号发生器电路设计摘要由于伪随机码在CDMA(Code Division Multiple Access)技术中得到了广泛的应用,为更好的利用CDMA技术,需了解伪随机码脉冲信号。
本课题主要是应用CPLD技术,设计一个伪随机码脉冲信号发生器电路,所用到的主要元器件有74LS74、EPM7128SLC84—15 MAX 7000可编程逻辑器件及一些门电路等,并利用MAX+PLUS Ⅱ软件来观察电路波形及CPLD综合实验板来检测电路的功能是否达到设计要求。
关键词伪随机码 CPLD 74LS74 EPM7128SLC-15ABSTRACTPseudorandom code is wide use in CDMA (Code Division Multiple Access)technology, to make use of CDMA technology,we should know Pseudorandom code Pulses. My design is based on CPLD technology ,and design a circuit to produce pseudorandom code. Device I used as follows:74LS74、EPM7128SLC84-15 MAX 7000 progranmable logic device 、some gate circuit and so on .At last ,we can use MAX+PLUSⅡsoftware and the board of CPLD which is comprehensive to inspect the application of this circuit is right or wrong.Keywords pseudorandom code;CPLD;74LS74;EPM7128SLC84-15 MAX 7000 progranmable logic device第1章绪论 (4)1.1伪随机序列的概念 (4)1.2伪随机序列的产生 (4)1..3几种伪随机序列的比较 (4)1.3.1 m序列 (4)1.3.2 M序列 (4)第2章主要元器件说明 (6)2.1 核心模块EPM7128SLC84-15 (6)2.1.1开关模块 (7)2.1.2 LED显示模块 (8)2.1.3 相关资源分配为: (9)2.2 74LS74 (10)2.2.1 74LS74引脚图 (10)2.2.2 74LS74功能表 (10)第3章原理图及逻辑示意图 (11)3.1原理框图 (11)3.1.1四级移位寄存器构成的m序列: (11)3.1.2四级移位寄存器构成的M序列: (11)3.2电路图 (12)3.2.1 四级移位寄存器构成的m序列: (12)3.2.2 四级移位寄存器构成的M序列: (13)第4章电路的时序波形及功能验证 (14)4.1 四级m序列: (14)4.2 四级M序列: (16)4.3 使用CPLD实验板检测电路功能是否达到实验要求: (18)第5章总结 (19)感谢辞 (20)参考文献 (21)第1章绪论在通信系统中,对误码率的测量、通信加密、数据序列的扰码和解码、扩频通信等方面均要用到伪随机序列,伪随机序列的特性对系统的性能有重要的影响,因此有必要了解和掌握伪随机序列的的概念和特性。
伪随机序列发生器设计
伪随机序列发生器设计
伪随机序列发生器(PRNG)是生成伪随机数字序列的算法,用于实现
一种统计分布的结果。
它与真正随机序列发生器(TRNG)有所不同,它会
生成完全随机的序列。
伪随机序列发生器(PRNG)一般用于实现加密算法、在游戏中实现随机数据,以及在模拟环境中实现随机事件等多种用途。
1)伪随机序列发生器的硬件部分:目前伪随机序列发生器均采用数
字电路来实现,一般是采用静态只读存储器(SRAM)实现,可以提供高速、稳定和可靠的性能。
2)伪随机序列发生器的算法:伪随机序列发生器需要一个有效的算
法来生成伪随机数字。
比较常用的算法有线性同余法、梅森旋转法、高斯
随机数法等。
3)伪随机序列发生器的参数:伪随机序列发生器需要选择适当的参
数来实现随机序列的变化,可以选择常数、Seed值、基量值等。
4)伪随机序列发生器的测试:需要对伪随机序列发生器进行充分的
测试,以确保它的算法是有效的,能够生成随机数字,而且经过测试不会
有规律性。
总而言之,伪随机序列发生器的设计需要考虑硬件部分、算法、参数
以及测试等多个要素,以确保它能够有效地生成伪随机数字,而且不会有
规律性。
伪随机序列发生器的研究与实现
第22卷第3期 吉林大学学报(信息科学版) V o l122 N o13 2004年5月 Journal of J ilin U n iversity(Info r m ati on Science Editi on) M ay2004 文章编号:167125896(2004)0320185204伪随机序列发生器的研究与实现α孙淑琴1,林 君1,张秉仁2,罗 军2(11吉林大学地球信息探测仪器教育部重点实验室,吉林长春130026;21吉林大学电子科学与工程学院,吉林长春130026)摘要:研究了伪随机序列的随机特征,推导出M序列具备伪随机序列的特征,给出M序列的反馈逻辑函数,设计了M序列发生器;分析了测试电路产生的n阶伪随机序列的波形图。
通过对测试结果的分析、比较发现,关于反馈移位寄存器的阶数与序列长度关系、码元的游程(该序列的伪随机性)等方面的分析是正确的,验证了该设计的可行性。
关键词:伪随机序列;反馈逻辑函数;频率域中图分类号:TN79 文献标识码:AInvestigati on and realigati on of p seudo2random sequence generato rSUN Shu2qin1,L I N Jun1,ZHAN G B ing2ren2,LUO Jun2(11Key laboratory of Earth Infor m ati on Exp l orati on Instrum entati on of M inistry of Educati on,J ilin U niversity,Changchun130026,China;21College of E lectron Science and Engineering,J ilin U niversity,Changchun130026,China)Abstract:T he random characteristics of p seudo2random sequence has been investigated,and the characteristics of M sequence has been educed,the feedback l ogic functi on of M sequence has been deduced,the M Sequence occur has been designed,the w avefo r m of p seudo2random sequence w as tested, the testing result has been analyzed and compared the relati on sh i p about the step s of feedback sh ift register,sequence length and travel of code(p seudo2random characteristic of the sequence)are co rrect. the feasibility of design w as validated.Key words:p seudo2random sequence;feedback l ogic functi on;frequency dom ain step s引 言伪随机序列具有良好的随机性,它的相关函数接近白噪声的相关函数(∆函数),即有窄的高峰或宽的功率谱密度,使它易于从其他信号或干扰中分离出来。
伪随机码产生
南昌大学实验报告
学生姓名:刘旷也学号:6100212213 专业班级:中兴121班
实验类型:□验证■综合□设计□创新实验日期:实验成绩:
伪随机码发生器
一、技术要求
设计一个7位伪随机码发生器。
二、设计思路
1.7位伪随机码发生器
可以用硬件电路来实现一个m序列,以最简单的n=3的三级移位寄
存器构成的m序列发生器如图所示。
移位寄存器是D触发器,在时钟脉冲CP上升沿来时,输出Q等于输入D。
中
间第二、三级移位寄存器的输出Q2和Q3经模二加电路后反馈到第一级移位
寄存器的输入D1端,构成反馈电路。
当初始状态Q1Q2Q3位111时,在时钟
脉冲控制下,各输出端的输出数据如表所示。
得到输出周期为P=23-1=7的码序列1110010。
在输出一个周期的序列后
Q1Q2Q3又回到111状态。
在时钟的控制下,输出序列做周期性的重复。
三、实验结果
得到的multism电路图如图所示
图1 7位伪随机码产生电路
图2 7位伪随机码:1011100。
伪随机序列发生器设计
第1章 基于伪随机序列的传输处理综合设计1.1 伪随机序列伪随机序列包括m 序列、Gold 序列、M 序列和组合序列等,其中最常用到的是m 序列[5,6]。
本文根据m 序列完成了传输处理系统的综合设计。
1.1.1 m 序列的生成m 序列是线性反馈移位寄存器的最大长度序列。
它的生成可用移位寄存器序列发生器的特征多项式来确定,其特征多项式()F x 可以定义为:20120()...ni n i n i F x C x C C x C x C x ===++++∑ (2-1) 其中x 的幂次表示元素相应的位置。
根据代数理论的严格证明,当特征多项式()F x 满足以下3个条件时就一定能够产生m 序列:(1)()F x 是不可约的,即不能再分解因式; (2)()F x 可整除1p x +,这里21n p =-; (3)()F x 不能整除1q x +,这里q p <;目前广泛应用的m 序列都是由移位寄存器构成的。
如图2-1所示,m 序列发生器由n 个二元存储器和模2开关网络组成。
二元存储器通常是一种双稳态触发器,它的两种状态记为0和l ,其状态取决于时钟控制下输入的信息(0或1),例如第i 级移位寄存器的状态取决于时钟脉冲后的第i 一1级移位寄存器的状态。
图中C i 表示为反馈线的两种可能连接状态:C i =1表示连接线连通,即第n -i 级输出加入到反馈中;C i =0表示连接线断开,即第n -i 级输出未参加到反馈中。
图2-1由于移位寄存器的初始状态是随机的,它可能是1,也可能是0。
如果各级移位寄存器的初始状态都为0时,则模2加法器的输出将始终为0,这样就不能产生任何序列。
为了防止这种情况发生,在图2-1中往往还需要增加必要的检测电路。
1.1.2 m 序列的特性分析m 序列由n 级移位寄存器产生的m 序列,其周期为21n -。
m 序列具有如下的一些特性:1) 随机性:在m 序列的一个周期中,0和1出现概率大致相同,0码只比1码多一个,且1的个数为121n --,0的个数为12n -。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验一伪随机码发生器实验
电科1103 杨帆
3110104337
一、实验目的
1、掌握伪随机码的特性。
2、掌握不同周期伪随机码设计。
3、用基本元件库和74LS系列元件库设计伪随机码。
4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。
5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。
6、熟悉通信原理实验板的结构。
二、实验仪器
1、计算机一台
2、通信基础实验箱一台
3、100MHz示波器一台
三、实验原理
伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源;
扰码;误码测试;扩频通信;保密通信等领域。
伪随机码的特性包括四个方
面:
1、由n级移位寄存器产生的伪随机序列,其周期为-1;
2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个;
3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现
次数多一倍;
例如:四级伪码产生的本原多项式为X
4
+X
3+1。
利用这个本原多项式构成的4级伪随机序列发生器产生的序列为:
1 1 1 1 0 0 0 1 0 0 1 1 0 1 0
四、实验内容及步骤
1、在MAXPLUSⅡ设计平台下进行电路设计
1.1 四级伪随机码发生器电路设计
电路原理图如图1-2所示。
在MAXPLUS II 环境下输入上述电路,其中:dff ------单D触发器
xor ------二输入异或门
nor4 ------四输入或非门
not ------反相器
clk ------时钟输入引脚(16M时钟输入)
8M ------二分频输出测试点引脚
nrz ------伪随机码输出引脚。