数字电子技术基础实验报告册
数字电子技术实验报告册20141018(学生版)
2014-2015(1)学期数字电子技术实验报告册班级学号姓名北京信息科技大学电子信息与控制实验教学中心数字电子技术课程组目录实验一门电路的功能测试 (3)实验二组合逻辑电路的设计................................................... 错误!未定义书签。
实验三计数、译码、显示电路的设计 ................................... 错误!未定义书签。
实验四555定时器设计电路.................................................... 错误!未定义书签。
实验五基于FPGA的分频器的设计 ................................... 错误!未定义书签。
实验六基于FPGA的跑马灯的设计....................................... 错误!未定义书签。
实验一门电路的功能测试一、实验目的(1)熟悉数字电路实验装置,能正确使用装置上的资源设计;(2)熟悉双列直插式集成电路的;(3)熟悉并验证典型集成门电路。
(4)了解数字集成电路数据手册的使用。
二、实验器材与仪器(1)数字电路实验装置1台;(2)数字万用表1块;(3)双列直插集成电路芯片、、各1片,导线若干。
三、实验内容及步骤使用数字电路实验装置,将相应的集成芯片插入IC插座,并使用导线将门电路输入端接实验箱的或脉冲,输出端接或数码显示、蜂鸣器等,测试、、的逻辑功能以及应用电路逻辑功能。
(1)验证与非门的逻辑功能a)按照图1.1连接电路;b)电路通电运行,验证不同输入信号下,输出信号的逻辑状态;实验测试数据见表1.1所示;c)通过分析实验数据,归纳总结与非门逻辑功能如下。
(2)与非门的应用一a)按照图1.2连接电路;选择74LS00集成电路芯片中的另一个与非门,将两个输入端短接,当一个输入端用。
数字电子技术实训报告(精选3篇)
数字电子技术实训报告(精选3篇)数字电子技术实训报告篇1这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。
了解了更多电子元件的工作原理,如:7448等。
同时也发现自对数电知识和电子设计软件掌握得不够。
其次在此次设计过程中由于我们频繁的使用一电子设计软件如:Proteus、protel等,因此使我进一步熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。
我认识到:数电设计每一步都要细心认真,因为任何一步出错的话,都会导致后面的环节发生错误。
比如在protel中画SCH电路时,就一定要细心确保全部无误,否则任何一个错误都会导致生成时发生错误,做成实物后就无可挽救了。
在的设计中,焊盘的大小,线路的大小,以及线间的距离等参数都要设置好,因为这关系到下一步的实物焊接。
在设计过程中遇到了一些问题,使得我查找各种相关资料,在增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能力。
这一课程设计,使我向更高的精神和知识层次迈向一大步。
在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。
数字电子技术实训报告篇2时间飞逝,在不知不觉中,我的实训生活结束。
通过实训,让我真正感觉到了做一个教师的难处,特别是幼儿教师的难处,不过在这次实训中,也让我收益颇丰。
在实训过程中,让我懂得了,要因人施教,不能一个模式一刀切,面对不同的幼儿用不同的方法。
因为每个孩子都有差异,都有自己的内心世界,他们好比一把锁,老师就是开启那把锁的主人。
真正走进他们的内心世界,去改变他们,教育他们,那么,这个世界就是天才的世界。
活动不能死板硬套,要因地制宜,因环境的改变而改变。
我们要用心去捕捉每个幼儿身上的可爱之处,及不足之处,并帮助他们去改正,不仅要关心和照顾幼儿,和幼儿家长的沟通也尤为重要,而且需要艺术。
数字电子技术基础实验报告册
《数字电子技术基础》
实验报告册
班级:
姓名:
学号:
唐山学院信息与控制工程实验教学中心
2012年3月
《数字电子技术基础》课程实验报告(一)
《数字电子技术基础》课程实验报告(二)
《数字电子技术基础》课程实验报告(三)
《数字电子技术基础》课程实验报告(四)
《数字电子技术基础》课程实验报告(五)
姓名合作者
实验人
学号实验小组第组
实验性质□验证性□设计性□综合性□应用性
实验成绩:
评阅教师签名:
一.555型集成时基电路芯片介绍见实验指导书
二.555定时器构成施密特触发器
按下图连线,输入信号由函数信号发生器提供,预先调好v S的频率为1KHz,接通电源,
逐渐加大vs的幅度,观测并绘出输出波形,同时测绘电压传输特性,算出回差电压△U。
vo
vi
三.555定时器组成多谐振荡器。
按下图接线,用双踪示波器观测v c与v o的波形,测定频率;并绘制出vc、vo波形。
vc
t
vo
t
《数字电子技术基础》课程实验报告(六)
《数字电子技术基础》课程实验报告(七)
《数字电子技术基础》课程实验报告(八)
《数字电子技术基础》课程实验报告(九)
《数字电子技术基础》课程实验报告(十)
《数字电子技术基础》课程实验报告(十一)。
数字电子技术基础实验
《数字电子技术基础实验》实验报告学院:学号:姓名:专业:实验时间:实验地点:2016 年12 月Figure 5.51 n位移位寄存器一、实验目的及要求编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。
了解并熟悉移位寄存器的工作原理功能;熟悉n位移位寄存器的逻辑功能。
所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。
当时钟信号Clock从0变为1时刻,正边沿触发器做出响应:当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的最高位,实现移位;当L=1时,将输入R的值寄存在Q中;所需EDA工具及要求:Modelsim:1、在Modelsim中建立工程,编写Figure 5.51 模块的源码;2、编写Figure 5.51 的测试模块源码,对Figure 5.51 进行仿真、测试,观察仿真波形图并进行分析等;Synplify Pro:1、使用Synplify Pro对Figure 5.51 进行综合,得到RTL View、Technology View、综合报表等,进行观察、分析等;二、实验内容与步骤1、在Modelsim中建立工程,编写Figure 5.51 模块的源码;本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。
L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。
如下图是一个4位移位寄存器图表说明了该四位移位寄存器的移位过程module shiftn (R, L, w, Clock, Q);parameter n = 16;input [n-1:0] R;input L, w, Clock;output reg [n-1:0] Q;integer k;always @(posedge Clock)if (L)Q <= R;elsebeginfor (k = 0; k < n-1; k = k+1)Q[k] <= Q[k+1];Q[n-1] <= w;endendmodule这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。
数字电子技术实验报告
数字电子技术实验报告学号:姓名:班级:实验一组合逻辑电路分析一、实验用集成电路引脚图74LS00集成电路:74LS20集成电路:二、实验内容1.ABCD接逻辑开关,“1”表示高电平,“0”表示低电平。
电路图如下:A=B=C=D=1时(注:逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。
)表格记录:结果分析:由表中结果可得该电路所实现功能的逻辑表达式为:F=AB+CD。
在multisim软件里运用逻辑分析仪分析,可得出同样结果:2.密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。
否则,报警信号为”1”,则接通警铃。
试分析密码锁的密码ABCD是什么?电路图如下:A=B=C=D=1时A=B= D=1,C=0时2.5 VA= D=1,B=C=0时记录表格:结果分析:由表可知,只有当A=D=1,B=C=0时,开锁灯亮;其它情况下,都是报警灯亮。
因此,可知开锁密码是1001。
三、实验体会与非门电路可以实现多种逻辑函数的功能模拟,在使用芯片LS7400和LS7420时,始终应该注意其14脚接高电平,8脚接地,否则与非门无法正常工作。
利用单刀双掷开关,可以实现输入端输入高/低电平的转换;利用LED灯可以指示输出端的高低电平。
实验二组合逻辑实验(一)半加器和全加器一、实验目的熟悉用门电路设计组合电路的原理和方法步骤。
二、预习内容1.预习用门电路设计组合逻辑电路的原理和方法步骤。
2.复习二进制数的运算。
①用与非门设计半加器的逻辑图。
②完成用异或门、与非门、与或非门设计全加器的逻辑图。
③完成用异或门设计的三变量判奇电路的原理图。
三、参考元件74LS283: 74LS00:74LS51: 74LS136:四、实验内容1.用与非门组成半加器,用异或门、与或非门、与非门组成全加器。
实验结果填入表中。
(1)与非门组成的半加器。
电路图如下(J1、J2分别代表Ai、Bi,图示为Ai、Bi分别取不同的电平时的仿真结果):2.5 V2.5 V2.5 V记录表格:(2)异或门、与或非门、与非门组成的全加器。
数字电子技术实验报告册参考模板
数字电子技术实验报告册总结与结论:1.列出与、或、非、与非、或非、与或非、异或、同或逻辑的真值表、逻辑表达式、逻辑符号。
逻辑功能逻辑表达式真值表逻辑符号Y=A·B与(74LS08 )Y=A+B或非与非或非与或非异或同或设计工作任务书任务名称【技能训练5-1】设计1个12位的二进制加法器模块任务要求一、设计功能指标设计1个12位的二进制加法器模块,其输入变量A、B为二组12位二进制数,输出S为一组13位的二进制数,实现二进制数的相加,即S=A+B。
二、任务要求完成原理图设计的与仿真,对仿真结果进行设计验证,编写设计文档(设计报告格式见附录B,标准电路图纸格式见附录C)。
测试设备装有MAX+plusⅡ的计算机(1台)设计步骤注:请写出设计步骤和设计过程。
1.启动MAX+plusⅡ2.设计输入3.建立项目4.图形文件的建立5.图形文件的设计输入6.编译7.设计验证1)建立波形文件2)加入仿真节点3)仿真4)仿真结果验证测试电路注:将设计电路图贴图于此。
仿真波形注:请将测试波形贴图于此。
结论与体会结论:当输入变量为345H和ABCH时,所设计的加法器的输出变量的值为E01 。
当输入变量为987H、为123H时,所设计的加法器的输出变量的值为AAA 。
结论:设计的12位二进制加法器可以(可以/不能)实现12位二进制数的相加。
可以(可以/未能)满足设计要求。
设计工作任务书设计电路注: 请将设计的电路图粘贴于此. 仿真波形注: 请将仿真波形图贴于此.结论与体会友情提示:范文可能无法思考和涵盖全面,供参考!最好找专业人士起草或审核后使用,感谢您的下载!。
数字电子技术实验报告
专业:班级:学号:姓名:指导教师:电气学院实验一集成门电路逻辑功能测试一、实验目的1. 验证常用集成门电路的逻辑功能;2. 熟悉各种门电路的逻辑符号;3. 熟悉TTL集成电路的特点,使用规则和使用方法。
二、实验设备及器件1. 数字电路实验箱2. 万用表3. 74LS00四2输入与非门 1片 74LS86四2输入异或门 1片74LS11三3输入与门 1片 74LS32四2输入或门 1片 74LS04反相器 1片三、实验原理集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。
TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。
实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。
四、实验内容㈠根据接线图连接,测试各门电路逻辑功能1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。
表1-1 74LS11逻辑功能表输入状态输出状态A B C Y0 0 0 00 0 1 00 1 0 00 1 1 01 0 0 01 0 1 01 1 0 01 1 1 1悬空 1 1 1悬空0 0 02. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下按表1—2要求用开关改变输入端A,B的状态,借助指示灯观测各相应输出端F的状态,把测试结果填入表1—2中。
表1—2 74LS32逻辑功能表输入状态输出状态A B Y0 0 00 1 11 0 11 1 10 悬空 11 悬空 1悬空0 1悬空 1 1悬空悬空 13. 利用Multisim画出以74LS04为测试器件的非门逻辑功能仿真图如下按表1—3要求用开关改变电平开关的状态,借助指示灯观测各相应输出端F的状态,把测试结果填入表1—3中。
数电实验报告
数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。
实验仪器和设备:1. 示波器。
2. 信号发生器。
3. 逻辑分析仪。
4. 电源。
5. 万用表。
6. 示教板。
7. 电路元件。
实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。
数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。
本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。
实验内容:1. 实验一,基本逻辑门的实验。
在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。
2. 实验二,时序逻辑电路的实验。
利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。
3. 实验三,逻辑分析仪的应用。
利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。
实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。
2. 依次进行各个实验内容的操作,记录实验数据和观察现象。
3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。
实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。
在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。
逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。
实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。
在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。
这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。
《数字电子技术基础》实验
实验一门电路逻辑功能测试一、实验目的1.熟悉门电路的逻辑功能。
2.熟悉常用集成门电路的引脚排列及其使用。
二、实验设备和器件1.直流稳压电源、信号源、示波器、万用表、面包板2.74LS00 四2输入与非门74LS04 六反相器74LS86 四2输入异或门三、实验内容1.非门逻辑功能(1)熟悉74 LS04的引脚排列,如图1(a)所示,其内部有六个非门。
图1 74 LS04引脚图与实验电路(2)取其中的一个非门按图1(b)所示接好电路。
(3)分别将输入端A接低电平和高电平,测试输出端F电压,并转换成逻辑状态填入表1。
表 1 非门逻辑功能输入输出A F电压(V)F12.与非门逻辑功能(1)熟悉74 LS00的引脚排列,如图2(a)所示,其内部有四个2输入端与非门。
图2 74 LS00引脚图与实验电路(2)取其中的一个与非门按图2(b)所示接好电路。
(3)分别将输入端A、B接低电平和高电平,测试输出端F电压,并转换成逻辑状态填入表2。
表 2 与非门逻辑功能输入输出AB F电压(V)F000110113.异或门逻辑功能(1)熟悉74 LS86的引脚排列,如图3(a)所示,其内部有四个2输入端异或门。
图3 74 LS86引脚图与实验电路(2)取其中的一个异或门按图3(b)所示接好电路。
(3)分别将输入端A、B接低电平和高电平,测试输出端F电压,并转换成逻辑状态填入表3。
表 3 异或门逻辑功能输入输出AB F电压(V)F000110114.与或非门逻辑功能(1)利用与非门和反相器可以构成与或非门,其原理图如图4所示。
图4 与或非门原理图(2)按照原理图,将74 LS00和74 LS04接成与或非门。
(3)当输入端为表4中各组合时,测试输出端F的结果并填入表4。
表 4 与或非门逻辑功能输入输出A B CD F电压(V)F0000 0001 0011 0101011111115.与非门对输出的控制(1)任取74 LS00中的一个与非门,按图5所示接好电路。
数字电子技术 实验报告册
北京信息科技大学数字电子技术实验报告册电工电子实验教学中心数字电子技术课程组目录实验一门电路功能测试 (3)实验二组合逻辑电路的设计 (7)实验三触发器应用设计实验 (11)实验四计数、译码、显示电路的设计 (14)实验五555定时器设计电路 (19)附录常用数字集成电路管脚图 (22)实验一门电路功能测试一、实验目的(1)掌握与门、或门、与非门、异或门等门电路的逻辑功能。
(2)掌握OC门、三态门的应用和特点。
二、实验器材与仪器(1)数字实验箱。
(2)数字万用表。
三、预习与思考题(1)复习与门、或门、与非门、异或门、三态门的逻辑功能。
(2)要使一个异或门实现非逻辑,电路将如何连接,为什么说异或门是可控反相器?(3)对于TTL门电路为什么说输入端悬空相当于接高电平?(4)说明多个三态门“线与”时应注意的那些问题。
四、实验内容与要求(1)验证与门、或门、与非门、异或门及反向器的逻辑将集成电路与门74LS08插入集成块的空插座上。
注意必须再接上电源正、负极,输入端接逻辑开关,输出端接发光二极管LED,即可进行验证。
观察输出结果,并记录在表2-1中。
用同样的方法验证或门74LS32、与非门74LS00、异或门74LS86、反向器74LS04的逻辑功能。
(各集成电路的芯片管脚如图2-2所示)表2-1 测量记录表(2)74LS125三态门应用测试利用74LS125三态门“线与”连接,实验电路如图2-1所示。
三个三态门的输入分别接高电平、地、连续脉冲。
根据三个不同状态,观察指示灯的变化,体会三态门的功能。
将结果记录在表2-2中。
图2-1 74LS125三态门应用测试1A 1B 1Y 2A 2B 2Y GNDVCC4B4A4Y3B3A3Y1A 1B 1Y 2A 2B 2Y GNDVCC4B4A4Y3B3A3Y1A 1B 1Y 2A 2B 2Y GNDVCC4B4A4Y3B3A3Y1A 1Y 2A 2Y 3A 3Y GNDVCC6A6Y5A5Y4A4YYA图2-2 74LS125三态输出四总线缓冲器的逻辑符号及引脚排列五、 实验注意事项(1) 所有集成电路芯片均需接电源。
数字电子技术实验报告五
数字电子技术实验报告五实验名称:数字电子技术实验五实验目的:1. 掌握数字逻辑电路的设计和测试方法。
2. 学习使用逻辑分析仪和数字示波器进行信号分析。
3. 理解数字电路的时序特性和稳定性。
实验原理:数字电子技术是利用数字逻辑电路对信号进行处理的技术。
本次实验主要涉及组合逻辑电路的设计和测试,以及时序逻辑电路的分析。
通过实验,学生将学习到如何根据给定的逻辑功能设计电路,以及如何使用现代测试设备对电路进行性能测试。
实验设备与材料:1. 数字逻辑电路实验板2. 逻辑分析仪3. 数字示波器4. 逻辑门芯片(如74LS00, 74LS04等)5. 电阻、电容、导线等辅助材料实验步骤:1. 根据实验要求设计电路图,使用逻辑门芯片实现所需的逻辑功能。
2. 在实验板上搭建电路,确保所有连接正确无误。
3. 使用逻辑分析仪输入测试信号,观察并记录电路的输出结果。
4. 使用数字示波器观察信号的波形,分析电路的时序特性。
5. 根据测试结果调整电路,确保电路能够稳定工作并满足设计要求。
实验结果:在本次实验中,我们设计并测试了一个简单的组合逻辑电路。
通过逻辑分析仪和数字示波器的测试,我们得到了电路的输出波形,并验证了电路的逻辑功能。
实验结果表明,设计的电路能够按照预期工作,满足设计要求。
实验分析:在实验过程中,我们注意到电路的输出信号在某些情况下会出现不稳定的现象。
通过分析,我们认为这可能是由于电路中存在竞争冒险现象。
为了解决这一问题,我们对电路进行了适当的修改,增加了去冒险逻辑,从而提高了电路的稳定性。
实验结论:通过本次实验,我们成功设计并测试了一个数字逻辑电路,验证了其逻辑功能和时序特性。
实验过程中,我们学习到了如何使用现代测试设备进行电路测试,并掌握了解决电路设计中可能遇到的问题的方法。
通过本次实验,我们对数字电子技术有了更深入的理解。
实验心得:在本次实验中,我深刻体会到了理论与实践相结合的重要性。
通过亲自动手设计和测试电路,我对数字逻辑电路的工作原理和设计方法有了更加直观的认识。
数字电子技术实验实验报告
数字电子技术实验实验报告实验目的:本实验旨在通过实际操作加深对数字电路基本理论的理解,掌握数字电路的设计与测试方法,提高解决实际问题的能力。
实验原理:数字电子技术是电子工程领域中的一个重要分支,它主要研究数字信号的产生、传输、处理和存储。
在本实验中,我们将利用基本的数字逻辑门电路来实现特定的逻辑功能,并通过实验来验证理论。
实验设备与材料:1. 数字逻辑实验箱2. 逻辑门电路模块(如与门、或门、非门等)3. 逻辑笔或示波器4. 面包板5. 导线6. 电源实验步骤:1. 根据实验要求设计电路图,选择合适的逻辑门电路模块。
2. 在面包板上搭建电路,按照设计图连接各个逻辑门模块。
3. 连接电源,确保电路正确接通。
4. 使用逻辑笔或示波器测试各个节点的逻辑电平,验证电路功能是否符合预期。
5. 记录实验数据,包括电路图、测试结果等。
实验结果:在本次实验中,我们成功搭建了所需的数字电路,并对其进行了测试。
测试结果显示,电路的输出与预期一致,验证了设计的准确性。
实验分析:通过本次实验,我们不仅加深了对数字电路设计的理解,还学会了如何使用实验设备进行电路搭建和测试。
实验中遇到的问题和解决方案也为我们提供了宝贵的经验。
实验结论:本次实验达到了预期的教学目的,通过实际操作加深了对数字电子技术的理解,提高了解决实际问题的能力。
实验结果表明,所设计的电路能够正确实现预定的逻辑功能。
实验心得:通过本次实验,我认识到理论知识与实践操作相结合的重要性。
在实验过程中,我学会了如何将理论知识应用到实际中,同时也体会到了解决实际问题的乐趣。
在未来的学习中,我将继续努力,不断提高自己的实践能力和创新能力。
参考文献:[1] 张三. 数字电子技术基础. 北京:电子工业出版社,2020.[2] 李四. 数字电路设计与测试. 上海:上海科学技术出版社,2021.注:以上内容为示例文本,具体实验报告应根据实际实验内容进行编写。
数字电子技术基础实践报告
目录1.实践目的和要求 (1)2.设计指标要求 (1)3.构成框图 (1)4.方案设计 (1)5.电路设计 (2)6.心得体会与总结 (9)7.参考文献 (9)一、实践目的和要求1. 学习电子秒表的设计与调试方法。
2.掌握电子秒表有关参数的测试方法。
3. 培养实践技能,提高分析和解决实际问题的能力。
4. 训练提高焊接以及排版布线等技能。
5. 加深对于常用数字电路常用芯片的理解。
二、控制要求:1.具有显示0~99秒的计时功能。
2.设计外部操作开关,控制计数器的直接清零启动、暂停、和连续功能。
3.计时器为99秒递加计时,计时间隙1S。
4.具有计时到某一特定值(要求为自己学号的最后两位)时自动停止,并发出光电报警。
三、构成框图:如图1 所示。
图1四、方案设计:利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。
利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的控制暂停与连续,用两个七段LED数码管显示“秒表”,显示时间为00-99秒,每厘固定时间周期自动加一。
计数器采用十六进制计数器74LS161接成十进制计数器,为译码器提供十种不同的四位二进制信号。
译码器部分采用的是74LS148集成芯片译码器,用于将十进制信号翻译成七段数码管的电平信号,完成显示工作。
五、电路设计:1.控制电路的设计(1)控制暂停与连续利用集成与非门构成的基本3电路工作是,开关拨向左侧,通过RS触发器的逻辑运算从与非门的11号管脚输出555产生的方波脉冲信号,实现计数功能。
当开关拨向右侧,则从11号管脚输出高电平,实现暂停功能。
(74LS00的内部结构如图3所示)图3(2)直接清零、启动通过在5V 电源处接一个上拉电阻实现74LS161的清零与重新启动。
正常工作时开关时断开的,这时161的R/D/端收到的是电源的高电平信号,集成芯片处于正常工作状态。
当开关闭合时,电源电压导入地,R/D/收到低电平信号,161清零复位,重新启动。
数字电子技术实验报告册
数字电子技术实验报告册数字电子技术实验报告册电工电子实验教学中心数字电子技术课程组北京信息科技大学目录实验一集成逻辑门电路参数的测试 ........................................................................... 3 实验二门电路功能测试 ............................................................................................. 8 实验三组合逻辑电路的设计 ................................................................................... 12 实验四触发器应用设计实验 ................................................................................. 16 实验五计数、译码、显示电路的设计 ............................................................... 19 实验六555定时器设计电路 .................................................................................... 24 实验七基于FPGA的分频器设计 ........................................................................... 27 实验八基于FPGA的跑马灯实验电路设计 . (32)附录常用数字集成电路管脚图 .................................................................................39实验一集成逻辑门电路参数的测试一、实验目的(1) 掌握数字实验设备的使用方法。
数字电子技术实验报告(学生版)
数字电子技术实验报告开课实验室 指导教师 班级 学号 姓名 日期实验项目 实验一 TTL 逻辑门电路 和组合逻辑电路一、实验目的1.掌握TTL “与非”门的逻辑功能.2.学会用“与非”门构成其他常用门电路的方法。
3.掌握组合逻辑电路的分析方法与测试方法。
4.学习组合逻辑电路的设计方法并用实验来验证.二、预习内容1.用74LS00验证“与非”门的逻辑功能Y 1=AB 2.用“与非"门(74LS00)构成其他常用门电路Y 2=A Y 3=A+B=B A Y 4=AB B AB A实验前画出Y 1——Y 4的逻辑电路图,并根据集成片的引脚排列分配好各引脚。
3.画出用“异或”门和“与非”门组成的全加器电路。
(参照实验指导书P 。
75 图3—2-2)并根据集成片的引脚排列分配好各引脚。
4.设计一个电动机报警信号电路.要求用“与非”门来构成逻辑电路。
设有三台电动机,A 、B 、C 。
今要求:⑴A 开机,则B 必须开机;⑵B 开机,则C 必须开机;⑶如果不同时满足上述条件,则必须发出报警信号。
实验前设计好电动机报警信号电路。
设开机为“1”,停机为“0”;报警为“1”,不报警为“0”。
(写出化简后的逻辑式,画出逻辑图及引脚分配)三、实验步骤1. 逻辑门的各输入端接逻辑开关输出插口,门的输出端接由发光二极管组成的显示插口。
逐个测试逻辑门Y 1-Y 4的逻辑功能,填入表1-1表1-12. 用74LS00和74LS86集成片按全加器线路接线,并测试逻辑功能。
将测试结果填入表 1—2.判断测试是否正确。
图中A i 、B i 为加数,C i —1为来自低位的进位;S i 为本位和,C i 为向高位的进位信号.表1—23.根据设计好的电动机报警信号电路用74LS00集成片按图接线,并经实验验证.将测试结果填入表1—3。
表1-3四、简答题1.Y4具有何种逻辑功能?2.在实际应用中若用74LS20来实现Y=AB时,多余的输入端应接高电平还是低电平? 3.在全加器电路中,当A i=0,S i*=1,C i=1时C i—1=?数字电子技术实验报告开课实验室 指导教师 班级 学号 姓名 日期 实验项目 实验二 组合逻辑电路的设计一、实验目的1.掌握用3线- 8线译码器74LS138设计组合逻辑电路。
西北工业大学-数字电子技术基础-实验报告-实验2
西北⼯业⼤学-数字电⼦技术基础-实验报告-实验2数字电⼦技术基础第⼆次实验报告⼀、题⽬代码以及波形分析1. 设计⼀款可综合的2选1多路选择器①编写模块源码module multiplexer(x1,x2,s,f);input x1,x2,s;output f;assign f=(~s&x1)|(s&x2);endmodule②测试模块`timescale 1ns/1psmodule tb_multiplexer;reg x1_test;reg x2_test;reg s_test;wire f_test;initials_test=0;always #80 s_test=~s_test;initialbeginx1_test=0;x2_test=0;#20x1_test=1;x2_test=0;#20x1_test=0;x2_test=1;#20x1_test=1;x2_test=1;#20x1_test=0;x2_test=0;#20x1_test=1;x2_test=0;#20x1_test=0;x2_test=1;#20x1_test=1;x2_test=1;endmultiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test));endmodule③仿真后的波形截图④对波形的分析本例⽬的是令s为控制信号,实现⼆选⼀多路选择器。
分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。
所以实现了⽬标功能。
2. 设计⼀款可综合的2-4译码器①编写模块源码module dec2to4(W,En,Y);input [1:0]W;input En;output reg [0:3]Y;always@(W,En)case({En,W})3'b100:Y=4'b1000;3'b101:Y=4'b0100;3'b110:Y=4'b0010;3'b111:Y=4'b0001;default:Y=4'b0000;endcaseendmodule②测试模块`timescale 1ns/1psmodule tb_dec2to4;reg [1:0]W_test;reg En_test;wire [0:3]Y_test;initialEn_test=0;always #80 En_test=~En_test;initialbeginW_test=2'b00;#20W_test=2'b01;#20W_test=2'b11;#20W_test=2'b10;#20W_test=2'b00;#20W_test=2'b01;#20W_test=2'b11;#20W_test=2'b10;#20W_test=2'b00;enddec2to4 UUT_dec2to4(.W(W_test),.En(En_test),.Y(Y_test));endmodule③仿真后的波形截图④对波形的分析本例⽬的是实现可综合的2-4译码器,其中数组W是输⼊信号,共有两个值,输⼊⼀个两位⼆进制数据,⽬的是通过译码器将它转换成独热码,数组Y是输出信号,输出四个⼆进制数据,构成独热码。
数字电子技术实验报告
数字电子技术实验报告
1. 实验目的:
2. 掌握TTL 逻辑门电路的主要参数意义
3. 掌握TTL 逻辑门电路主要参数以及测量方法
4. 通过与非门实现与门、或门、异或门。
一、实验设备;
1. 数字电路实验箱
2. 74LS00
3. 函数发生器、示波器
二、实验原理;
1. 实验室所用电路板中配备有与非门, 可以通过各种逻辑运算, 从而利用与非门实现
与门、或门、异或门等逻辑门电路。
2. Y=A ·B= ,从公式可以看出, 可以将 与1接入与非门的两个输入端(输入1的端
口悬空即可)。
3. , 从公式可以看出可以将A 和1接入一个非门(2步骤中已经实现非门), 从而得
到 , 同理可以得到 , 然后将 和 接入与非门的两个输入端, 就可得到Y 。
4. Y=A B ⊗=))((B A B A ++=))((B A AB =))((B A AB 。
取信号A 为方波, 峰峰值是5V , 偏移量为2.5V , 频率为1000Hz, B 取为逻辑开关。
三、实验结果图
B
A & 1 &
B 1
& A
& &
3.
当B=0时, Y=A =A 当B=1时, Y=A =
1
A
1 B 1 & B
& & A &
&。
数字电子技术基础课程设计实验报告
数字电子技术课程设计(数字时钟逻辑电路的设计与实现)学院:信息学院班级:学号:姓名:刘柳指导教师:楚岩课设时间:2009年6月21日—2009年6月26日一摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
诸如按时自动打铃,时间程序自动控制,定时启闭路灯,定时开关烘箱,通断动力设备,甚至各种定时电气的的自动启用等。
这些都是以数字时钟作为时钟源的。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。
二主要技术指标1.设计一个有时、分、秒(23小时59分59秒)显示的电子钟2.该电子钟具有手动校时功能三方案论证与选择要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。
而脉冲源产生的脉冲信号的频率较高,因此,需要进行分频,使高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1HZ)。
经过分频器输出的秒脉冲信号到计数器中进行计数。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
此时需要分别设计60进制,24进制计数器,各计数器输出信号经译码器到数字显示器,使“时”、“分”、“秒”得以数字显示出来。
值得注意的是:任何计时装置都有误差,因此应考虑校准时间电路。
数字电子技术基础实验报告
数字电子技术基础实验报告实验一TTL集成门电路逻辑变换一、实验目的1. 深化理解TTL门电路的组成结构和参数;2. 认识和掌握基本的TTL逻辑门电路的连接方式;3. 掌握验证、分析逻辑门电路的方法;4. 学习使用Quartus II软件进行原理图搭建、波形仿真等一系列操作;二、实验要求用门电路实现一位全加器,并用Quartus II软件进行测试,并下载至FPGA进行验证。
三、实验设备1. Quartus II 软件2. FPGA 开发板3. USB电缆四、实验原理1. 全加器逻辑的实现全加器的逻辑器件组成以及逻辑框图形式:全加器的真值表以及逻辑表达式:2. 实验开发板DE0的基本使用方法3. 发光二极管LED与FPGA的连接通过设计全加器,将两位输出端接在FPGA的两个LED引脚上,三位输入端接在FPGA的三位拨码开关上,从而实现在FPGA上拨动开关使得LED灯的亮暗情况发生变化,验证逻辑电路的正确性。
五、实验内容此次实验的内容主要是:用门电路设计实现一位全加器,用Quartus II软件测试,并下载到FPGA进行验证。
原理图:图1.1 全加器原理图设计其中A、B、C0为三位全加器输入端,S、C1为全加器输出端。
波形仿真结果:图1.2 波形仿真结果其中A设计为1us频率的脉冲信号,B设计为2us频率的脉冲信号,C0设计为8us频率的脉冲信号。
C1与S的输出结果如图2所示。
六、实验过程中的问题1. 在仿真波形图时,我们发现我们的仿真结果与示例教程提供的仿真结果并不一样,我们经过了反复的对比,查找真值表等工作,也与其他小组的仿真结进行了对比,最终确定了我们波形是正确的。
2. 实验的主要问题就是第一次上手Quartus II 软件,对软件的各种操作流程并不是很熟悉,因此效率较低,并且都需要一步一步对着例程去做;对软件的各种功能的熟悉程度也不够。
七、心得体会1. 实践出真知。
通过自己搭建仿真逻辑电路,才可以对逻辑电路的功能及实现有更加深入的理解。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《数字电子技术基础》
实验报告册
班级:
姓名:
学号:
唐山学院信息与控制工程实验教学中心
2012年3月
《数字电子技术基础》课程实验报告(一)
《数字电子技术基础》课程实验报告(二)
《数字电子技术基础》课程实验报告(三)
《数字电子技术基础》课程实验报告(四)
《数字电子技术基础》课程实验报告(五)
实验名称555定时器
实验时间年月日实验地点
姓名合作者
实验人
学号实验小组第组
实验性质□验证性□设计性□综合性□应用性
实验成绩:
评阅教师签名:
一.555型集成时基电路芯片介绍见实验指导书
二.555定时器构成施密特触发器
按下图连线,输入信号由函数信号发生器提供,预先调好v S的频率为1KHz,接通电源,
逐渐加大vs的幅度,观测并绘出输出波形,同时测绘电压传输特性,算出回差电压△U。
vo
vi
三.555定时器组成多谐振荡器。
按下图接线,用双踪示波器观测v c与v o的波形,测定频率;并绘制出vc、vo波形。
vc
t
vo
t
《数字电子技术基础》课程实验报告(六)
《数字电子技术基础》课程实验报告(七)
《数字电子技术基础》课程实验报告(八)
《数字电子技术基础》课程实验报告(九)
《数字电子技术基础》课程实验报告(十)
《数字电子技术基础》课程实验报告(十一)
如有侵权请联系告知删除,感谢你们的配合!。