运算器部件实验报告
实验报告_运算器实验
实验报告_运算器实验一、实验目的本次运算器实验的主要目的是深入了解运算器的工作原理和功能,通过实际操作和观察,掌握其基本运算逻辑和数据处理过程,培养对计算机硬件系统的理解和实践能力。
二、实验设备本次实验所使用的设备包括计算机一台、相关的实验软件以及连接线路等。
三、实验原理运算器是计算机的核心部件之一,它负责执行各种算术和逻辑运算。
其基本组成包括算术逻辑单元(ALU)、寄存器、数据总线等。
算术逻辑单元(ALU)是运算器的核心,能够进行加法、减法、乘法、除法等算术运算,以及与、或、非等逻辑运算。
寄存器用于暂时存储参与运算的数据和运算结果。
数据总线则用于在各个部件之间传输数据。
在运算过程中,数据从寄存器通过数据总线传输到ALU 进行运算,运算结果再通过数据总线存储回寄存器或传输到其他部件。
四、实验内容与步骤(一)实验内容1、进行简单的算术运算,如加法、减法、乘法和除法。
2、执行逻辑运算,包括与、或、非操作。
3、观察运算结果在寄存器和数据总线上的传输和存储过程。
(二)实验步骤1、打开实验软件,连接好实验设备。
2、选择要进行的运算类型,如加法运算。
3、在相应的输入框中输入两个操作数。
4、点击“计算”按钮,观察运算结果在寄存器中的显示。
5、重复上述步骤,进行其他类型的运算。
五、实验结果与分析(一)实验结果1、加法运算:当输入操作数分别为 5 和 3 时,运算结果为 8,准确无误。
2、减法运算:输入 8 和 3,结果为 5,符合预期。
3、乘法运算:输入 2 和 4,得到结果 8,正确。
4、除法运算:输入 10 和 2,结果为 5,无差错。
5、逻辑运算:与运算:输入 1010 和 1100,结果为 1000。
或运算:输入 0101 和 1010,结果为 1111。
非运算:输入 1010,结果为 0101。
(二)结果分析通过对实验结果的观察和分析,可以得出以下结论:1、运算器能够准确地执行各种算术和逻辑运算,结果符合预期。
计算机组成原理运算器实验报告(一)
计算机组成原理运算器实验报告(一)计算机组成原理运算器实验报告实验目的•理解计算机组成原理中运算器的工作原理•学习运算器的设计和实现方法•掌握运算器的性能指标和优化技巧实验背景计算机组成原理是计算机科学与技术专业中的重要课程之一,通过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。
运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。
在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的运算器。
实验步骤1.确定运算器的功能需求–确定需要支持的算术运算和逻辑运算–设计运算器的输入和输出接口2.实现运算器的逻辑电路–根据功能需求,设计并实现运算器的逻辑电路–确保逻辑电路的正确性和稳定性3.验证运算器的功能和性能–编写测试用例,对运算器的功能进行验证–测量运算器的性能指标,如运算速度和功耗4.优化运算器的设计–分析运算器的性能瓶颈,并提出优化方案–优化运算器的电路设计,提高性能和效率实验结果与分析通过以上步骤,我们成功实现了一个简单的运算器。
经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。
经过优化后,运算器的速度提高了20%,功耗降低了10%。
实验总结通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。
通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。
这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。
参考文献•[1] 《计算机组成原理》•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014.实验目的补充•掌握运算器的工作原理和组成要素•学习如何设计和实现运算器的各个模块•理解运算器在计算机系统中的重要性和作用实验背景补充计算机组成原理是计算机科学中的基础课程,它研究计算机硬件和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。
运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对计算机的性能和功能起着重要作用。
运算器实验实验报告
运算器实验实验报告一、实验目的运算器是计算机中进行算术和逻辑运算的部件,本次实验的目的在于深入理解运算器的工作原理,掌握其基本结构和功能,并通过实际操作和测试,提高对计算机硬件系统的认识和实践能力。
二、实验设备本次实验所使用的设备包括:计算机、数字逻辑实验箱、导线若干等。
三、实验原理运算器主要由算术逻辑单元(ALU)、寄存器、数据通路和控制逻辑等组成。
ALU 是运算器的核心部件,能够执行加法、减法、乘法、除法等算术运算以及与、或、非等逻辑运算。
寄存器用于存储参与运算的数据和运算结果,数据通路负责在各部件之间传输数据,控制逻辑则根据指令控制运算器的操作。
在本次实验中,我们采用数字逻辑电路来构建运算器的基本功能单元,并通过连线和设置控制信号来实现不同的运算操作。
四、实验内容1、算术运算实验(1)加法运算首先,将两个 8 位二进制数分别输入到两个寄存器中,然后通过控制信号使 ALU 执行加法运算,将结果存储在另一个寄存器中,并通过数码管显示出来。
通过改变输入的数值,多次进行加法运算,观察结果是否正确。
(2)减法运算与加法运算类似,将两个 8 位二进制数输入到寄存器中,使 ALU 执行减法运算,观察结果的正确性。
2、逻辑运算实验(1)与运算输入两个 8 位二进制数,控制 ALU 进行与运算,查看结果。
(2)或运算同样输入两个 8 位二进制数,进行或运算并验证结果。
(3)非运算对一个 8 位二进制数进行非运算,观察输出结果。
3、移位运算实验(1)逻辑左移将一个 8 位二进制数进行逻辑左移操作,观察移位后的结果。
(2)逻辑右移执行逻辑右移操作,对比移位前后的数据。
五、实验步骤1、连接实验设备按照实验箱的说明书,将计算机与数字逻辑实验箱正确连接,并接通电源。
2、构建电路根据实验要求,使用导线将数字逻辑芯片连接起来,构建运算器的电路结构。
3、输入数据通过实验箱上的开关或按键,将待运算的数据输入到相应的寄存器中。
实验3运算器部件实验
北京林业大学11学年—12学年第 2 学期计算机组成原理实验任务书专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕实验题目:实验三运算器部件实验实验环境:TEC-XP+教学实验系统、PC机实验内容1.脱机情况下,实现往寄存器中送数、加、减、与、或、非、异或、左移、右移的运算。
2.在脱机情况下,通过数据开关拨入参加运算的数据,通过微型开关提供操作运算器运行所必需的控制信号,通过信号指示灯观察运算结果。
3.联机情况下,通过教学计算机的指令实现脱机运算器实验完成的功能。
实验目的1.深入理解运算器芯片Am2901的功能和内部组成,运行中使用的控制信号及各自的控制作用;2.熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各数据位信号、各控制位信号的连接关系;3.明确教学计算机的运算器部件,使用总计23位的控制信号就完全确定了它的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关中的23位提供这些控制信号,教学计算机正常执行指令时(即运算器联机时),这些控制信号必须改由控制器部件来提供。
在两种方式下,每一位(组)的控制功能是完全相同的。
实验要求1.实验之前认真预习,明确实验的目的和具体实验内容,写出实验用到的数据和控制信号的取值,做好实验之前的必要准备。
2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果;3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。
4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。
善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。
实验2 运算器 实验报告
实验2 运算器实验报告一、实验目的本次实验的主要目的是深入了解运算器的工作原理和功能,通过实际操作和观察,掌握运算器在计算机系统中的重要作用,提高对计算机硬件结构的理解和认识。
二、实验设备本次实验使用了以下设备:1、计算机一台,配置为_____处理器、_____内存、_____硬盘。
2、实验软件:_____。
三、实验原理运算器是计算机中执行算术和逻辑运算的部件。
它主要由算术逻辑单元(ALU)、寄存器、数据通路和控制电路等组成。
算术逻辑单元(ALU)能够进行加、减、乘、除等算术运算,以及与、或、非、异或等逻辑运算。
寄存器用于暂存操作数和运算结果,数据通路负责在各个部件之间传输数据,控制电路则根据指令控制运算器的操作。
在运算过程中,数据从寄存器或内存中读取,经过 ALU 处理后,结果再存回寄存器或内存中。
四、实验内容与步骤(一)加法运算实验1、打开实验软件,进入运算器实验界面。
2、在操作数输入框中分别输入两个整数,例如 5 和 10。
3、点击“加法”按钮,观察运算结果显示框中的数值。
4、重复上述步骤,输入不同的操作数,验证加法运算的正确性。
(二)减法运算实验1、在实验界面中,输入被减数和减数,例如 15 和 8。
2、点击“减法”按钮,查看结果是否正确。
3、尝试输入负数作为操作数,观察减法运算的处理方式。
(三)乘法运算实验1、输入两个整数作为乘数和被乘数,例如 3 和 7。
2、启动乘法运算功能,检查结果的准确性。
3、对较大的数值进行乘法运算,观察运算时间和结果。
(四)除法运算实验1、给定被除数和除数,如 20 和 4。
2、执行除法运算,查看商和余数的显示。
3、尝试除数为 0 的情况,观察系统的处理方式。
(五)逻辑运算实验1、分别进行与、或、非、异或等逻辑运算,输入相应的操作数。
2、观察逻辑运算的结果,理解不同逻辑运算的特点和用途。
五、实验结果与分析(一)加法运算结果通过多次输入不同的操作数进行加法运算,结果均准确无误。
计算机组成原理运算器实验报告
计算机组成原理运算器实验报告本次实验的主题为计算机组成原理运算器实验。
在本次实验中,我们通过对运算器的实验进行研究和探究,了解了计算机组成原理方面的相关知识,更加深入地认识了计算机的运作原理。
一、实验目的本次实验的目的是使学生掌握运算器的组成和运算过程,并且了解运算器在计算机中的位置和给计算机的工作。
二、实验原理1、硬件部分运算器是一种计算机硬件,可以进行算术和逻辑运算。
运算器包含一个算术逻辑单元(ALU),一个累加器和一些寄存器。
运算器可以在CPU 中实现简单的算术操作。
运算器由三部分组成:算术逻辑单元(ALU)、寄存器和累加器。
ALU 是计算机CPU中负责完成算术和逻辑运算的部分;寄存器是计算机中用来暂时存放数据的小型存储器,它是CPU中数据存储的主要形式;累加器是CPU中的一种特殊寄存器,在运算过程中用于存储运算结果。
2、软件部分计算机编程中常常涉及到算术和逻辑运算,进行这些运算的方法是在程序中调用运算器中的算术逻辑单元(ALU)。
ALU是计算机CPU中负责完成算术和逻辑运算的部分,用于进行各种算术和逻辑运算,如加、减、乘、除、与、或、非、移位等。
三、实验过程— 1 —本次实验的实验步骤如下:1、打开实验设备,将电源线插进插座,将设备的开关打开,在设备前方的显示器上能够看见下划线。
2、按下NORM键,增益调整。
将x的值设置为“0011”,将y的值设置为“1101”。
3、操作者可以选择不同的操作符。
例如选择ADD操作,将其输入。
4、按下RUN键,运算器开始计算。
5、运算结束后,在屏幕上将显示运算结果。
本例中,结果为“1000”。
四、实验结果与分析在本次实验中,我们利用运算器实现了不同运算的计算过程,并且也成功地输出了运算结果。
这一过程与计算机组成原理中的运算器的定义、作用及组成都有密切的关系。
在本次实验中,我们也进一步加深了对计算机组成原理中该重要部分的理解。
五、实验总结通过本次实验,我们深入了解了运算器在计算机中的作用及其实现方法。
基本运算器实验实验报告
基本运算器实验实验报告一、实验目的本次基本运算器实验的主要目的是深入理解计算机中基本运算的原理和实现方式,通过实际搭建和测试运算器电路,掌握加法、减法、乘法和除法等基本运算的逻辑实现,以及运算过程中的进位、借位和溢出等概念。
同时,通过实验培养我们的动手能力、逻辑思维能力和问题解决能力,为进一步学习计算机组成原理和数字电路等相关课程打下坚实的基础。
二、实验设备与环境1、实验设备数字电路实验箱示波器逻辑分析仪万用表2、实验环境实验室提供稳定的电源和良好的通风条件。
三、实验原理1、加法器半加器:只考虑两个一位二进制数相加,不考虑低位进位的加法电路。
其逻辑表达式为:和= A ⊕ B,进位= A ∧ B。
全加器:考虑两个一位二进制数相加以及低位进位的加法电路。
其逻辑表达式为:和= A ⊕ B ⊕ C_in,进位=(A ∧ B) ∨(A ∧C_in) ∨(B ∧ C_in)。
多位加法器:通过将多个全加器级联可以实现多位二进制数的加法运算。
2、减法器利用补码原理实现减法运算。
将减数取反加 1 得到其补码,然后与被减数相加,结果即为减法的结果。
3、乘法器移位相加乘法器:通过将被乘数逐位与乘数相乘,并根据乘数对应位的值进行移位相加,得到乘法结果。
4、除法器恢复余数法除法器:通过不断试商、减去除数、恢复余数等操作,逐步得到商和余数。
四、实验内容与步骤1、加法器实验按照实验原理图,在数字电路实验箱上连接全加器电路。
输入不同的两位二进制数 A 和 B 以及低位进位 C_in,观察输出的和 S 和进位 C_out。
使用示波器和逻辑分析仪监测输入和输出信号的波形,验证加法器的功能。
2、减法器实验按照补码原理,设计减法器电路。
输入被减数和减数,观察输出的差和借位标志。
使用万用表测量相关节点的电压,验证减法器的正确性。
3、乘法器实验搭建移位相加乘法器电路。
输入两位二进制被乘数和乘数,观察输出的乘积。
通过逻辑分析仪分析乘法运算过程中的信号变化。
运算器组成实验报告
运算器组成实验报告运算器组成实验报告引言运算器是计算机系统中的一个重要组成部分,它负责进行各种数学和逻辑运算。
本实验旨在通过实践,深入了解运算器的组成原理和工作方式。
在实验过程中,我们将通过搭建一个简单的运算器电路,来探索它的内部结构和运行机制。
一、实验目的本实验的主要目的是通过实际操作,加深对运算器的理解。
具体目标包括:1. 学习运算器的基本组成部分和功能;2. 掌握运算器的工作原理和运行机制;3. 熟悉运算器的电路搭建和调试过程;4. 分析和解决运算器电路中可能出现的问题。
二、实验原理1. 运算器的基本组成运算器通常由算术逻辑单元(ALU)、寄存器、控制器和数据通路等部分组成。
其中,ALU是运算器的核心部件,负责执行各种算术和逻辑运算;寄存器用于存储运算器的中间结果和操作数;控制器负责协调各个部件的工作;数据通路则用于传输数据和控制信号。
2. 运算器的工作原理运算器的工作原理可以简单概括为以下几个步骤:(1)从寄存器中读取操作数;(2)根据控制信号选择相应的运算方式;(3)执行运算操作,将结果存储到寄存器中;(4)根据需要,将结果输出到其他部件或存储器中。
三、实验步骤1. 设计运算器电路根据实验要求,我们设计了一个简单的四位二进制加法器电路。
该电路由四个半加器和一个全加器组成,能够实现两个四位二进制数的加法运算。
2. 搭建电路根据电路设计图纸,我们使用逻辑门和触发器等元器件,搭建了运算器电路。
在搭建过程中,我们注意到电路的连接方式和元器件的正确使用,以确保电路能够正常工作。
3. 调试电路搭建完成后,我们对电路进行了调试。
首先,我们检查了电路连接是否正确,是否存在短路或接触不良的问题。
然后,我们逐步输入测试数据,观察电路的输出情况,并与预期结果进行比对。
如果发现输出结果与预期不符,我们会仔细检查电路的各个部分,寻找可能的问题并进行修复。
四、实验结果与分析在实验过程中,我们成功搭建了一个四位二进制加法器电路,并进行了多组测试。
运算器组成原理实验报告
运算器组成原理实验报告运算器组成原理实验报告一、引言运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。
本实验旨在通过实际操作,深入了解运算器的组成原理和工作机制。
二、实验目的1. 理解运算器的基本概念和功能。
2. 掌握运算器的组成原理及其实际应用。
3. 学会使用逻辑门电路构建简单的运算器。
三、实验器材与步骤1. 实验器材:- 逻辑门电路芯片(如AND、OR、NOT等);- 运算器实验板;- 连接线等。
2. 实验步骤:1) 连接逻辑门电路芯片到运算器实验板上的相应位置。
2) 根据实验要求,设置逻辑门的输入信号。
3) 运行实验板,观察输出结果。
4) 记录实验数据,并进行分析。
四、实验原理运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。
这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。
1. 加法器加法器是运算器的基本组成部分,用于实现数字的加法运算。
它由多个逻辑门电路组成,其中包括半加器和全加器。
半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。
2. 减法器减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。
它通过将减法转化为加法运算来实现。
减法器的输入包括被减数、减数和借位,输出为差值。
3. 乘法器乘法器用于实现数字的乘法运算。
它通过多次的加法运算来实现乘法。
乘法器的输入包括被乘数和乘数,输出为积。
4. 除法器除法器用于实现数字的除法运算。
它通过多次的减法运算来实现除法。
除法器的输入包括被除数和除数,输出为商和余数。
五、实验结果与分析根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。
通过分析实验数据,我们得出了以下结论:1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。
2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。
在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。
计算机组成运算器实验报告
计算机组成运算器实验报告计算机组成运算器实验报告引言:计算机是当今社会不可或缺的工具,而计算机的核心部件之一就是运算器。
运算器是计算机中负责执行算术和逻辑运算的部件,它的设计和实现对计算机的整体性能起着至关重要的作用。
本实验旨在通过设计和搭建一个简单的运算器,深入理解和掌握计算机组成原理。
一、实验目的本次实验的主要目的是通过搭建一个基于逻辑门的8位运算器,了解和掌握运算器的基本原理和设计方法。
具体目标如下:1. 学习和理解运算器的基本功能和工作原理;2. 掌握逻辑门的基本知识和使用方法;3. 设计和实现一个具有加法、减法、乘法和除法功能的8位运算器;4. 验证运算器的正确性和可靠性。
二、实验原理1. 运算器的功能运算器是计算机中执行算术和逻辑运算的核心部件,其主要功能包括加法、减法、乘法和除法等。
通过逻辑门的组合和控制信号的输入,可以实现各种不同的运算操作。
2. 逻辑门的基本原理逻辑门是运算器中最基本的构建单元,它根据输入信号的不同,产生相应的输出信号。
常见的逻辑门包括与门、或门、非门等。
通过逻辑门的组合和级联,可以构建出更复杂的逻辑电路,实现各种逻辑运算。
3. 运算器的设计方法运算器的设计方法主要包括两种:组合逻辑设计和时序逻辑设计。
组合逻辑设计是指根据输入信号的组合,直接输出相应的结果。
时序逻辑设计是指根据输入信号的变化过程,按照一定的时序规则输出结果。
三、实验过程1. 运算器的整体设计根据实验要求,我们需要设计一个具有加法、减法、乘法和除法功能的8位运算器。
首先,我们需要确定运算器的输入和输出信号的格式和位数。
然后,根据运算操作的特点,选择合适的逻辑门进行组合和级联,实现各种运算操作。
2. 逻辑门的选择和连接在设计运算器时,我们需要根据实际需求选择适当的逻辑门。
例如,对于加法操作,我们可以选择全加器进行设计;对于减法操作,可以选择加法器和取反器进行设计;对于乘法和除法操作,可以选择移位寄存器和与门进行设计。
运算器实验报告
运算器实验报告实验目的:通过设计和实现一个简单的运算器,掌握数字电路的基本原理和设计方法。
实验原理:运算器是计算机中的一个重要组成部分,用于实现各种算术运算和逻辑运算。
本实验将设计一个4位加法器,实现两个4位二进制数的相加操作。
实验器材:1. 电子实验箱2. 电工工具(例如:万用表、镊子、取针)3. 数字电路集成电路(例如:逻辑门电路、触发器等)4. 连接线5. 电源实验步骤:1. 准备工作:将实验箱中的电源接通,检查连接线和电路的接触是否良好。
2. 按照设计要求,连接各种逻辑门电路和触发器,组成一个4位加法器电路。
3. 将两个4位二进制数通过开关输入到运算器中。
4. 按下计算按钮,观察LED显示屏上的运算结果。
5. 验证运算结果的正确性,可以手动计算两个4位二进制数的和,与实验结果进行对比。
6. 关闭电源,拆除电路连接。
实验结果:经过多次实验,观察和验证运算结果,发现设计的运算器能够正确实现两个4位二进制数的相加操作。
实验分析:1. 通过本实验,我们深入了解了数字电路的基本原理和设计方法。
2. 通过手动计算与实验结果对比,验证了设计的运算器的正确性。
3. 实验过程中,我们发现一些可能的故障原因,例如连接线接触不良、电源故障等。
4. 在实验中,我们需要仔细操作,注意观察,以确保实验结果的准确性。
实验总结:通过本次实验,我们掌握了数字电路设计的基本方法和技巧,充分理解了数字电路的工作原理。
同时,通过验证实验结果,我们对设计的运算器的正确性进行了验证。
在今后的学习和实践中,我们将运用数字电路设计的知识,不断探索和创新,提高自身的实践应用能力。
运算器组成实验
第二节运算器组成实验一、实验目的(1)掌握算术逻辑运算加、减、乘、与的工作原理。
(2)熟悉简单运算器的数据传送通路。
(3)验证实验台运算器的8位加、减、与、直通功能。
(4)验证实验台的4位乘4位功能。
(5)按给定数据,完成几种指定的算术和逻辑运算。
二、实验电路图6示出了本实验所用的运算器数据通路图。
ALU由1片ispLS I1024构成。
四片4位的二选一输入寄存器74H C298构成两个操作数寄存器D R1和DR2,保存参与运算的数据。
DR1接AL U的B数据输入端口,D R2接AL U的A数据输入端口,A LU的输出在ispL SI1024内通过三态门发送到数据总线DBUS7—DBUS0上,进位信号C保存在isp LSI1024内的一个D寄存器中。
当实验台下部的IR/DBUS开关拨到DBU S位置时,8个红色发光二极管指示灯接在数据总线DBU S上,可显示运算结果或输入数据。
另有一个指示灯C显示运算器进位信号状态。
由ispLS I1024构成的8位运算器的运算类型由选择端S2、S1、S0选择,功能如表3所示:表3 运算器运算类型选择表进位C只在加法运算和减法运算时产生,与、乘、直通操作不影响进位C的状态,即进位C保持不变。
减法运算采用加减数的反码再加以1实现。
在加法运算中,C代表进位;在减法运算中,C代表借位。
运算产生的进位在T4的上升沿送入i spLS I1024内的C寄存器保存。
在SW_BU S#信号为0时,参与运算的数据通过一个三态门74HC244(SW_BUS)送到DBUS总线上,进而送至DR1或DR2操作数寄存器。
输入数据可由实验台上的8个二进制数据开关S W0—SW7来设置,其中SW0是最低位,SW7是最高位。
实验一运算器部件实验1
实验一运算器部件实验一.实验类型设计型实验二.实验目的1.掌握4 位算术逻辑单元74181,先行进位发生器74182的工作原理和使用方法。
2.掌握16 位串/并运算器的工作原理及设计方法。
三、实验要求1.用四片4 位并行算术逻辑运算单元74181、一片先行进位发生电路74182,组装一个组间进位并行/串行可变的16 位运算器(每组四位)。
2.验证集成电路74181、74182 的功能。
3.分别测试16 位运算器组间串行进位和并行进位情况下的最大进位延迟时间。
四、实验芯片介绍1.74181芯片2.74182芯片四、实验原理1.实现CLA电路的芯片设计CLA电路的主要功能是接收BCLA加法器的Gi和Pi以及初始进位信号Cin,从而同时产生各BCLA加法器的低位进位信号(C4、C8、C12)。
假设4片BCLA加法器的先行进为输出依次是P1G1、P2G2、P3G3、P4G4,那么:Cn+x=G1+P1Cin,Cn+y=G2+P2Cn+x=G2+G1P2+P1P2Cin,Cn+z=G3+P3Cn+y=G3+G2P3+G1P2P3+P1P2P3Cin,Cn+4=G4+P4Cn+z=G4+G3P4+G2P3P4+G1P2P3P4+P1P2P3P4Cin.现令P*=P1P2P3P4,G*=G4+G3P4+G2P3P4+G1P2P3P4,则Cn+4=G*+P*Cin.根据上述一系列进位产生公式,可以在Multisim 2001利用TTL工具箱中74系列提供的工具创建CLA电路,实现图如图2所示。
图2 先行进位逻辑电路仿真图其中,具有两个输入端的与门、或非门都是TTL工具箱中74系列提供的工具, 而具有3个输入端和4个输入端的与门、或非门的实现方法有多种,可由学生发挥自身创造力,自己提出解决方案。
此处是使用Multisim 2001中对已有元器件的编辑功能实现的,从双输入的与门和或非门编辑改造而来。
要对上述CLA电路进行封装,以便其可以作为一个芯片模块使用。
计算机组成运算器实验报告
计算机组成运算器实验报告《计算机组成运算器实验报告》摘要:本实验旨在通过实验操作,深入理解计算机组成原理中的运算器部分。
通过对运算器的组成结构和工作原理进行研究和实验,加深对计算机内部运算过程的理解。
一、实验目的1. 了解运算器的基本组成结构和工作原理;2. 掌握运算器的逻辑运算和算术运算的实现方法;3. 通过实验操作,加深对计算机组成原理中运算器部分的理解。
二、实验设备1. 计算机组成原理实验箱;2. 逻辑门、加法器、寄存器等实验器件;3. 万用表、示波器等实验仪器。
三、实验内容1. 运算器的基本组成结构及功能分析;2. 运算器的逻辑运算和算术运算实验操作;3. 运算器的工作原理分析及实验验证。
四、实验结果与分析通过实验操作,成功实现了运算器的逻辑运算和算术运算,并对其工作原理进行了深入分析。
实验结果表明,运算器的逻辑运算和算术运算均能够按照设计要求进行,符合计算机组成原理中的相关理论知识。
五、实验结论通过本实验,加深了对计算机组成原理中运算器部分的理解,掌握了运算器的基本组成结构和工作原理,并成功实现了相关实验操作。
这对于进一步深入学习计算机组成原理和计算机系统结构具有重要意义。
六、实验感想本实验让我对计算机组成原理中的运算器部分有了更深入的理解,也增强了我对计算机内部运算过程的认识。
通过实际操作,我对计算机组成原理的相关知识有了更加直观的认识,对于今后深入学习计算机相关课程和进行科研工作具有积极的促进作用。
通过本次实验,我对计算机组成原理中的运算器部分有了更深入的理解,也增强了我对计算机内部运算过程的认识。
通过实际操作,我对计算机组成原理的相关知识有了更加直观的认识,对于今后深入学习计算机相关课程和进行科研工作具有积极的促进作用。
运算器实验实验报告
八位运算器实验分析1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。
运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。
在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。
2,八位运算器的实验原理框图3,VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity exp_r_alu isport( clk: in std_logic;sw_bus,r4_bus,r5_bus,alu_bus:in std_logic;lddr1,lddr2,ldr4,ldr5: in std_logic;m,cn: in std_logic;s: in std_logic_vector(3 downto 0);k: in std_logic_vector(7 downto 0);d: inout std_logic_vector(7 downto 0));end exp_r_alu ;architecture rtl of exp_r_alu issignal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0);signal sel:std_logic_vector(5 downto 0);beginldreg:process(clk,lddr1,lddr2,ldr4,ldr5,bus_reg)beginif clk'event and clk='1' thenif lddr1='1' then dr1<=bus_reg;elsif lddr2='1'then dr2<=bus_reg;elsif ldr4='1' then r4<=bus_reg;elsif ldr5='1' then r5<=bus_reg;end if;end if;end process;alu:process(m,cn,dr1,dr2,sel,aluout)beginsel<=m&cn&s;case sel iswhen "000000"=>aluout<=dr1+1;when "010000"=>aluout<=dr1;when "100000"=>aluout<=not dr1;when "000001"=>aluout<=(dr1 or dr2)+1;when "010001"=>aluout<=dr1 or dr2;when "100001"=>aluout<=not(dr1 or dr2);when "000010"=>aluout<=( dr1 or (not dr2))+1;when "010010"=>aluout<=dr1 or (not dr2);when "100010"=>aluout<=(not dr1) and dr2;when "000011"=>aluout<=x"00";when "010011"=>aluout<=aluout-1;when "100011"=>aluout<=x"00";when "000100"=>aluout<=dr1+(dr1 and(not dr2))+1;when "010100"=>aluout<=dr1+(dr1 and(not dr2));when "100100"=>aluout<=not(dr1 and dr2);when "000101"=>aluout<=(dr1 or dr2)or(dr1 and dr2)or x"01";when "010101"=>aluout<=(dr1 or dr2)+(dr1 and(not dr2));when "100101"=>aluout<=not dr2;when "000110"=>aluout<=dr1- dr2;when "010110"=>aluout<=dr1-dr2-1;when "100110"=>aluout<=dr1 xor dr2;when "000111"=>aluout<=dr1 and(not dr2);when "010111"=>aluout<=(dr1 and(not dr2))-1;when "100111"=>aluout<=dr1 and(not dr2);when "001000"=>aluout<=dr1+(dr1 and dr2)+1;when "011000"=>aluout<=dr1+(dr1 and dr2);when "101000"=>aluout<=(not dr1)or dr2;when "001001"=>aluout<=dr1+dr2+1;when "011001"=>aluout<=dr1 + dr2;when "101001"=>aluout<=dr1 xnor dr2;when "001010"=>aluout<=(dr1 or(not dr2))+(dr1 and dr2)+1;when "011010"=>aluout<=(dr1 or(not dr2))+(dr1 and dr2);when "101010"=>aluout<=dr2;when "001011"=>aluout<=dr1 and dr2;when "011011"=>aluout<=(dr1 and dr2)-1;when "101011"=>aluout<=dr1 and dr2;when "001100"=>aluout<=dr1 +dr1+1;when "011100"=>aluout<=dr1 or dr1;when "101100"=>aluout<=x"01";when "001101"=>aluout<=(dr1 or dr2)+dr1+1;when "011101"=>aluout<=(dr1 or dr2)+dr1;when "101101"=>aluout<=dr1 or(not dr2);when "001110"=>aluout<=(dr1 or(not dr2))+dr1+1;when "011110"=>aluout<=(dr1 or(not dr2))+dr1;when "101110"=>aluout<=dr1 or dr2;when "001111"=>aluout<=dr1;when "011111"=>aluout<=dr1-1;when "101111"=>aluout<=dr1;when others =>aluout<=x"ff";end case;end process;bus_reg<=k when(sw_bus='0' and r4_bus='1' and r5_bus='1' and alu_bus='1')else r4 when(sw_bus='1' and r4_bus='0' and r5_bus='1' and alu_bus='1')elser5 when(sw_bus='1' and r4_bus='1' and r5_bus='0' and alu_bus='1')elsealuout when(sw_bus='1' and r4_bus='1' and r5_bus='1' and alu_bus='0')elsed;d<=bus_reg when (sw_bus='0' or r4_bus='0' or r5_bus='0' or alu_bus='0')else(others=>'Z');end rtl;4,实验波形其中end time 是1微秒,grid size是10纳秒5,波形分析k为输入端,操作员可将值输入到运算器。
运算器部件试验(模拟器)
实验目的
• 深入了解AM2901 的功能和内部组成。 • 深入了解各个控制信号及作用 • 深入理解最低位的进位输入信号;最高、 最低位的移位输入信号;4个标志位
实验内容
• • • • • • • • R0 ← 34 R9 ← 78 R9 ← R9-R0 R0 ← R0+1 B R10← R0 R0逻辑左移 R9 ← R9^R9 Q ← R9
完成一பைடு நூலகம்运算
• A=00101100 • B=00110101 • 完成A*B • 完成B/A • 完整写出每一步操作。并记录
运算器部件脱机实验
技术说明
• 运算器是计算机硬件系统传统的5 大功能部 件之一,承担执行运算和暂存运算数据的 功能, • 由执行算术逻辑运算功能的ALU 线路、 • 暂存参加ALU 运算的数据中间运算结果的 通用寄存器组 • 支持乘除法运算的专用寄存器三个部分之 间通过多路选择器线路实现连接,从而构 成一个完整的运算器部件。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验一运算器部件实验报告
班级____________ 姓名_______________ 学号 _______________ 日期_____________
一、实验目的
熟悉与深入理解4位运算器芯片Am2901的功能和内部组成,运行中要求使用的控制信号及其各自的控制作用。
熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各数据位信号、各控制位信号的连接关系。
熟悉与深入理解用2片GAL20V8芯片解决ALU最低位的进位输入信号和最高、最低位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在运算器芯片之内实现而要到芯片之外另外处理。
明确教学计算机的运算器部件,使用总计24位的控制信号就完全确定了它的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关提供这些控制信号。
二、实验说明
脱机运算器实验,是指让运算器从教学计算机整机中脱离出来,此时,它的全部控制与操作均需通过24位的微型开关来完成,通过开关、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。
三、实验要求
1、实验之前认真预习,写出预习报告,包括操作步骤,实验过程所用数据和运行结果等
2、实验过程当中,要仔细进行,防止损坏设备,分析可能遇到的各种现象,判断结果是否正确,记录运行结果
3、实验之后,认真写出实验报告,包括对遇到的各种现象的分析,实验步骤和实验结果,自己在这次实验的心得体会与收获。
四、实验所使用到的控制信号
AM2901所用的控制信号
运算器用到的GAL20V8的控制信号
五、实验步骤
1、将教学机设置为单步、16位、脱机状态下,即把教学机左下方的5个控制开关置为1XX00。
2、按一下RESET按键,进行初始化。
3、按照指定功能给出控制信号和数据信息,观察各信号指示灯状态。
4、按压START键,给出脉冲信号,观察各信号灯状态。
六、实验内容
1、下表中所列操作在教学机上进行运算器脱机实验。
并将结果填入表中
2、下表中所列操作在教学机上进行运算器脱机实验。
并将结果填入表中
运算器功能所用到的控制信号
运算器的运行结果状态信息
六、实验过程中遇到的主要问题
七、实验后的心得体会。