8个led循环显示计数器报告

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

library ieee;
signal f:std_logic;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
begin p_reg:process(clk0)
---1 Hz 分频
entity fenpin is
variable cnt:integer range 1 to 50000;
第2天
设计方案论证

进一步讨论方案, 对设计方案进行必要的修

第3天
正,方案确定后开始进行 VHDL 语言程序设



第4天
设计 VHDL 语言程序
第 5~9 天
在实验装置上进行硬件测试,对 VHDL 语言 程序进行必要的修正,并进行演示
第 10 天
编写设计说明书
教研室 意见
系(部)主管 领导意见
年月日
一、设计任务
8 个 LED 循环显示、计数器的设计
设计一个 8 个 LED 循环显示,同时数码管也显示 LED 亮的次数。由于系统时钟为 50MHz,直接当 作时钟会由于计数速度太快而无法使发光二极管正常发光,因此首先需要对系统时钟进行分频,然后再以 分频后的时钟作为计数器的计数时钟。
二、设计要求
指导教师:瞿 曌
课题名称
8 个 LED 循环显示、计数器的设计
试设计一个 8 个 LED 循环显示,同时数码管也显示 LED 亮的次数。由于 设 系统时钟为 50MHz,直接当作时钟会由于计数速度太快而无法使发光二极管 计 正常发光,因此首先需要对系统时钟进行分频,然后再以分频后的时钟作为计 内 数器的计数时钟。要求设置 3 个按钮,一个供“开始” 用,一个供“停止”用, 容 一个供系统“复位”用;对 8 个 LED 循环显示的延续时间进行调整;调整步长 及 自定。系统提供 50MHZ 频率的时钟源。完成该系统的硬件和软件的设计,并 要 制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件), 求 最后就课程设计本身提交一篇课程设计说明书。
1)设计分频计数器 ......................................................................................................................5 2)设计数控分频 ..........................................................................................................................6 3)LED 灯循环设计 .....................................................................................................................7 4)数码管扫频 ..............................................................................................................................7 5)8 个数码管计数 .......................................................................................................................8 6)引脚分配 ................................................................................................................................10 五、设计流图 .....................................................................................................................................10 六、程序语言 .....................................................................................................................................10 七、设计思考与总结 .........................................................................................................................14 八、 参考文献...................................................................................................................................14
2)设计数控分频
下面即为计数频率(10HZ),CLK 即为上面的输出 Y 频率 1000HZ,而 CLK 经过数控分频后即为 10HZ 的频 率,CLK1 就可作为 LED 灯的循环显示频率。(数控分频)程序如下:
H1:PROCESS(CLK,EN)
VARIABLE CL:STD_LOGIC;
BEGIN
最后,分频是为了将 50mhz 的频率减小,即在 50mhz(clk)出现 n 个上升沿之前另一个 clk1 不翻转, 而在 n 个上升沿时翻转,在 2n 之前一直保持翻转后的状态,到 2n 时,计数器清零,同时再实现翻转,这 样就能做出任意较小的频率实现设计。
四、设计步骤
1)设计分频计数器
首先设计分频计数器,由于要有一个数码管置位工作扫描频率(1000HZ),还要有一个实现计数个 和 led 灯循环显示的频率,即分频要分出两个不同的频率,其中(扫描分频)程序如下:
设 1、VHDL 语言程序设计; 计 2、波形仿真; 工 3、在实验装置上进行硬件测试,并进行演示; 作 4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、 量 仿真分析、硬件测试、调试过程,参考文献、设计总结等。
起止日期(或时间量)
设计内容(或预期目标)
备注
第1天
课题介绍,答疑,收集材料
三、设计原理
首先,要设计一个 8 个 led 灯循环显示的计数器,重要的设计部分在于让 led 灯循环显示,同时在显 示的同时数码管的数加 1。即为要让 led 灯亮的同时,数码管上面的数字加 1。因此,需要在同一个脉冲 下完成计数器加 1,led 灯循环亮一位。
由于系统给定的时钟是 50Mhz,而我们可视的频率为 24hz 内,很显然数码管和 led 灯不能正常工作, 这就涉及到要将 50mhz 频率分频,将频率变小,使计数器和 led 灯能正常工作;
其次,led 灯要实现循环显示,即第一个灯亮之后,在出现一个上升沿脉冲后,第二个灯亮,第一个 灯灭,来一个脉冲移动一个,并实现循环,为了实现循环,设计思路是讲来一次脉冲将最高位补到最低位, 次最高位变成最高位。级设计一个移位寄存器,准确的说是循环移位寄存器。
再者,由于数码管要求是动态显示,显然还要分出一个置位工作扫描频率,由于人的视觉暂留,频率 应该大于 24hz,为了数码管显示效果,我分出了 1000hz 的频率来扫描 8 位数码管的工作。
长沙学院
课程设计说明书
题目 8 个 LED 循环显示、计数器的设计 系(部) 电子与通信工程系 专业(班级) 电气工程及其自动化 2 姓名 学号 2010 指导教师 瞿瞾 起止日期
共 14 页
8 个 LED 循环显示、计数器的设计
电子设计自动化设计任务书
系(部):电子与通信工程系 专业:电气工程及其自动化
BEGIN
IF EN='1' AND ZT='0' THEN
IF D'EVENT AND D='1' THEN
if CLK'event and CLK='1'then
CL:=NOT CL;
IF F="11111111" THEN F<=DIN8;D<='1';
IF CL='1' THEN CLK1<='1';
8 个 LED 循环显示、计数器的设计
else
begin
cnt:=cnt+1; f<='0';
if f'event and f='1' then
end if; end if;
cnt2:=not cnt2;
end process p_reg;
if cnt2='1' then
p_div:process(f)
日期:
系主任签名:
日期:
说明 课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;
8 个 LED 循环显示、计数器的设计
目录
一、设计任务 .......................................................................................................................................5 二、设计要求 .......................................................................................................................................5 三、设计原理 .......................................................................................................................................5 四、设计步骤 .......................................................................................................................................5
port(clk0: in std_logic;
begin
y: inout std_logic);
if clk0'event and clk0='1' then
end fenpin;
if cnt=25000then
architecture one of fenpin is
cnt:=1; f<='1';
年月日
8 个 LED 循环显示、计数器的设计
长沙学院课程设计鉴定表
姓名
学号
专业 电气工程及其自动化 班级 2
设计题目
8 个 LED 循环显示、计数器的设计
指导教师意见:
指导教师
瞿曌
评定等级: 答辩小组意见:
教师签名:
日期:
评定等级: 教研室意见:
答辩小组长签名:
日期:
系(部)意见:
教研室主任签名:
Leabharlann Baidu
y<='1';
variable cnt2:std_logic;
else
y<='0';end if;
end process p_div;
end if;
end;
在进程中,clk0 为原始频率 50mhz,进程 P_REG 为分频,进程 P_DIV 为整形,最后通过输出 Y 端
口,输出为 1000HZ;
仿真波形如图示
要求设置 3 个按钮,一个供“开始” 用,一个供“停止”用,一个供系统“复位”用;对 8 个 LED 循环显 示的延续时间进行调整;调整步长自定。系统提供 50MHZ 频率的时钟源。完成该系统的硬件和软件的设 计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身 提交一篇课程设计说明书。
ELSE F<=F+1;D<='0';
ELSE CLK1<='0';
END IF;END IF;end if;
END IF;END IF;
END PROCESS H1;
END PROCESS H2;
H2:PROCESS(D) 仿真波形图如下
相关文档
最新文档