8个led循环显示计数器报告

合集下载

显示计数器的设计实验报告

显示计数器的设计实验报告

数字电路与逻辑设计实验报告
(一)实验名称:显示计数器的设计。

(二)实验目的:熟悉同步、异步计数器的工作原理及应用。

掌握任意进制计数器的设计方法。

(三)实验内容:掌握双二-五-十进制计数器74LS390的功能。

利用74LS390设计一个模18的计数器,使用555定时器产生计数脉冲信号,计数结果用数码管显示。

利用示波器观察CP、1QA、1QB、1QC、1QD、2QA的波形,并在报告中绘制。

(四)模拟电路上的运行结果:
(五)心得体会:
此次计数器的有关实验,不仅帮我巩固了计数器相关方面的知识,而且让我懂得和体会到了计数器功能测试的方法,并且也掌握了一些计数器的设计方法。

与此同时,还让我熟悉了同步、异步计数器的工作原理和应用。

虽然刚开始的时候还是遇到了一些难于解决的问题,但最后经过老师的讲解和自己大胆的尝试操作后,最终问题都迎刃而解了。

(六)思考题解答:。

单片机实训报告(8个LED指示灯循环移动)

单片机实训报告(8个LED指示灯循环移动)

单⽚机实训报告(8个LED指⽰灯循环移动)⼀、实验⽬的:通过所学汇编语⾔和C语⾔知识,熟悉并掌握单⽚机综合仿真实验仪的使⽤⽅法,学会使⽤Keil uVision2编写程序。

⼆、实验器材:单⽚机综合仿真实验仪、AT89C51、计算机、导线。

三、实验功能:利⽤定时计数器T0⼯作⽅式1,实现定时50ms,中断20次,实现精确定时1秒以控制8个LED指⽰灯从左到右间隔1秒时间循环移动,当LED指⽰灯移动次数到32次时,8个LED指⽰灯停⽌循环移动,蜂鸣器响1秒后停⽌声⾳提⽰;⼜接着控制8个LED指⽰灯从右到左间隔1秒时间循环移动,依此类推。

四、实验要求:只能利⽤单⽚机的4个P1⼝控制74HC138译码器以及蜂鸣器来实现上述功能,并对程序进⾏注解;注明:刚开始时,最左边的LED指⽰灯点亮,画出电路图。

五、计算定时/计数器的初值X(2^16-X)*1=50000,X=15536D=03CB0H.低8位送给TL0,⾼8位送给TH0,即(TL0)=0B0H,(TH0)=03CH六、实验原理图:七、实验参考程序:#includebit direct=1,speak=0,counter=0;int i=0,j=0,k=0,p=0,m,n;sbit P13=P1^3; //定义P1.3引脚main() //主函数{TMOD=0x01; //T0计数⽅式1TL0=0xb0; //定时50msTH0=0x3c;EA=1; //开T0中断ET0=1;TR0=1; //启动T0 while(1) //等待中断{while(speak){P13=~P13; //输出取反for(m=0;m<2;m++)for(n=0;n<2;n++);}if(counter){counter=0;TR0=1;if(direct&&!speak){P1=i;i++;if(i==8){j++;if(j==4){j=0;direct=0;speak=1;}elsei=0;}}else if(!direct&&!speak){i--; //循环右移P1=i;if(i==0){j++; //循环左移if(j==4) //移动周期{j=0;direct=1;speak=1;}elsei=8;}}}}}void time0(void) interrupt 1 //T0中断服务函数{ TR0=0; //重启动TL0=0xb0; //重装初值TH0=0x3c;if(speak){++p;if(p==20) //蜂鸣器响应时间{p=0;speak=0;}}else++k;if(k==20) //LED灯间隔1秒移动{k=0;counter=1;}elseTR0=1;}⼋、实验总结:通过这次实训,我拓宽了知识⾯,锻炼了能⼒,综合素质得到较⼤提⾼。

实验二P1口控制LED发光二极管

实验二P1口控制LED发光二极管

实验二 P1口控制LED发光二极管一、实验目的1、进一步熟练Proteus及Keil软件的基本操作2、掌握8051单片机P1口的使用方法3、掌握LED发光二极管的原理及使用方法4、学习汇编程序的调试及仿真方法二、实验电路三、实验内容及步骤:要求:8个LED发光二极管循环左移显示(发光的移位),间隔时间为一秒。

1、使用Proteus画出电路原理图2、在Keil uVision中完成程序编辑、调试及编译,生成.HEX文件3、进行Protues与Keil uVision联动的相关设置:4、在Proteus中仿真运行。

四、思考1、将本实验的实验现象改为“不发光二极管循环移位”。

2、将本实验的实验现象改为“每隔0.5秒发光二极管循环移位”。

参考程序:ORG 0LJMP MAINORG 30H MAIN: MOV A,#0FEH LOOP: MOV P1,ALCALL DELAYRL ASJMP LOOP DELAY: MOV R7,#20H DELAY1:MOV R6,#200 DELAY2:MOV R5,#123DJNZ R5,$DJNZ R6,DELAY2DJNZ R7,DELAY1RETEND实验三数码管静态显示实验一、实验目的1、进一步熟悉51系列单片机2、了解8051单片机P0口的使用方法3、掌握共阴极数码管的原理及使用方法4、学习8051的编程、调试、编译、仿真。

二、实验电路图3 数码管静态显示电路原理图注:数码管要从元件库选择Optoelectronics类中的7SEG-COM-CAT-GRN。

三、要求及步骤:要求:在七段数码管上以递增方式循环显示数字0—9,间隔时间为一秒。

1、使用Proteus画出电路原理图2、在Keil uVision中完成程序编辑、调试及编译,生成.HEX文件3、进行Protues与Keil uVision联动的相关设置:4、在Proteus中仿真运行。

四、思考1、为什么要将P0口各引脚通过电阻R3-R9接到电源?2、如何在共阴数码管上循环显示十六进制数字0—F(不区分字母的大小写)?3、怎样修改程序使数字以递减方式循环显示?4、若用共阳极数码管应如何修改电路和程序,才能完成本实验的功能?参考程序:ORG 00HLJMP STARTORG 30HSTART: MOV DPTR,#TABLES1: MOV R4,#00HS2: MOV A,R4MOVC A,@A+DPTRMOV P0,ALCALL DELAYINC R4CJNE R4,#0AH,S2SJMP S1DELAY: MOV R5,#20 ;延时子程序D2: MOV R6,#200D1: MOV R7,#123DJNZ R7,$DJNZ R6,D1DJNZ R5,D2RETTABLE: DB 3FH,06H,5BH,4FH,66H ;段码表DB 6DH,7DH,07H,7FH,6FHEND实验四基本输入/输出实验一、实验目的1、进一步熟悉8051单片机并行I/O口的使用方法3、掌握并行I/O口输入/输出操作的方法4、学习8051的编程、调试、编译、仿真。

流水灯课程设计报告

流水灯课程设计报告

流水灯课程设计报告1、概述随着科学技术水平的不断向前提高以及社会经济的不断向前发展,人们越来越意识到广告宣传的重要性,越来越多的丰富多彩、新颖夺目的LED广告宣传牌充斥在城市的公共场所中,为灯红酒绿的城市增加了炫目多姿的色彩。

这些LED 广告宣传牌动态显示的背后,则是以流水灯的原理为基础,以单片机为控制核心,按照广告商的宣传需求,通过炫目的多彩和新颖的方式来吸引人们的眼球来完成广告宣传。

基于单片机的流水灯的设计,主要是以单片机为控制核心,通过自身的数据输入输出端口完成与流水灯显示电路的数据联通,通过内部的程序运行来实现对流水灯显示电路的动态控制,进而实现显示电流的循环亮灭的操作。

所以对于基于单片机的流水灯系统的深入研究与学习对于学习单片机控制系统以及LED广告宣传系统的工作机制进来说具有非常重要的现实意义。

2、基于单片机的流水灯的系统电路流水灯的显示电路就是多个二极管通过一端公共连接而构成的显示电路,并将另一端分别与单片机的多个数据输入输出端口进行连接,当单片机向这些端口发送相应的数据时,根据二极管的工作特性,从而实现对其的亮灭控制。

当然,单片机工作还需要复位电路和晶振电路配合单片机芯片构成单片机工作的最小系统,从而满足单片机正常工作的基本条件。

如图1所示,为基于单片机的流水灯控制系统硬件电路图,该硬件电路以AT89C51为基本的控制核心,实现对8为二极管流水灯显示电路的亮灭控制。

该控制系统是以AT89C51为系统的控制单片机,它是美国ATMEL公司生产的高性能的CMOS 8位处理器,同时配备了丰富的硬件资源,有128字节的RAM供用户使用,并提供2个16为定时器/计数器完成定时和计数的工作以及32根数据输入输出端口来单片机与外部电路的数据连通的工作。

8位二极管构成的流水灯显示电路是用共阳极的连接方法来构成的显示电路的。

常见的LED显示灯电路中的二极管连接方法有两种,一种是共阳极连接,一种是共阴极连接,它们是根据显示电路中二极管公共连接的方式来决定的。

PLC实验

PLC实验

实验一与或非功能的实验在基本指令的编程练习单元完成本实验。

一、实验目的1、熟悉PLC实验装置,S7-200系列编程控制器的外部接线方法2、了解编程软件STEP7的编程环境,软件的使用方法。

3、掌握与、或、非逻辑功能的编程方法。

二、实验说明首先应根据参考程序,判断Q0.0、Q0.1、Q0.2的输出状态,在拨动输入开关I0.1、I0.2、I0.3,观察输出指示灯Q0.1、Q0.2、Q0.3是否符合与、或、非逻辑的正确结果。

在本装置中输入公共端要求接主机模块电源的“L+”,此时输入端是低电平有效;输出公共端要求接主机模块电源的“M”,此时输出端输出的是低电平。

三、实验面板图图中的接线孔通过防转座插锁紧线与PLC的主机相输入输出插孔相接。

I为输入点,Q为输出点。

上图中下面两排I0.0~I1.5为输入按键和开关,模拟开关量的输入。

上边一排Q0.0~Q1.1是LED指示灯,接PLC主机输出端,用以模拟输出负载的通与断。

四、梯形图参考程序实验二定时器功能实验一、实验目的掌握定时器的正确编程方法,并将定时器应用到实际电路中,用编程软件对可编程控制器的运行进行监控。

二、实验说明SIMATIC定时器可分为接通延时定时器(TON),有记忆的接通延时定时器(TONR)和断开延时定时器(TOF)。

在运行程序之前,首先应该根据要求分析各个定时器的动作状态。

三、设计梯形图1、定时器实验(1)接通延时定时器I0.0接通,100ms定时器T37在1s后到时;I0.0断开,T37复位(2)有记忆的接通延时定时器I0.0接通,10ms定时器T1在1s后到时;I0.1接通T1复位(3)断开延时定时器I0.0断开,10ms定时器T33在1s后到时;I0.0接通,T33复位。

2.定时器应用实验设计一闪烁电路:I0.0接通时,Q0.0断开2s,接通3s,循环实现闪烁。

四、预习要求阅读实验指导书,复习教材中有关的内容,根据要求设计出梯形图。

五、实验报告要求整理出运行调试后的各个程序的梯形图程序。

编写程序使实验板上八段数码管循环显示0 到9 字符

编写程序使实验板上八段数码管循环显示0 到9 字符

编写程序使实验板上八段数码管循环显示0 到9 字符1.八段数码管嵌入式系统中,经常使用八段数码管来显示数字或符号,由于它具有显示清晰、亮度高、使用电压低、寿命长的特点,因此使用非常广泛。

结构八段数码管由八个发光二极管组成,其中七个长条形的发光管排列成“日”字形,右下角一个点形的发光管作为显示小数点用,八段数码管能显示所有数字及部份英文字母。

见图1。

图1 八段数码管的结构类型八段数码管有两种不同的形式:一种是八个发光二极管的阳极都连在一起的,称之为共阳极八段数码管;另一种是八个发光二极管的阴极都连在一起的,称之为共阴极八段数码管。

工作原理以共阳极八段数码管为例,当控制某段发光二极管的信号为低电平时,对应的发光二极管点亮,当需要显示某字符时,就将该字符对应的所有二极管点亮;共阴极二极管则相反,控制信号为高电平时点亮。

电平信号按照dp,g,e…a 的顺序组合形成的数据字称为该字符对应的段码,常用字符的段码表如下:表1 常用字符的段码表显示方式八段数码管的显示方式有两种,分别是静态显示和动态显示。

静态显示是指当八段数码管显示一个字符时,该字符对应段的发光二极管控制信号一直保持有效。

动态显示是指当八段数码管显示一个字符时,该字符对应段的发光二极管是轮流点亮的,即控制信号按一定周期有效,在轮流点亮的过程中,点亮时间是极为短暂的(约1ms),由于人的视觉暂留现象及发光二极管的余辉效应,数码管的显示依然是非常稳定的。

基础知识地址0x10000004为数码管的数据寄存器,控制数码管的段码输出.数码管扫描控制地址为0x10000006,8位访问Bit0 –控制数码管0 –低电平有效Bit1 –控制数码管1 –低电平有效Bit2 –控制数码管2 –低电平有效Bit3 –控制数码管3 –低电平有效Bit4 –控制数码管4 –低电平有效Bit5 –控制数码管5 –低电平有效实验说明1、制作LED字符与码段对应表unsigned char seg7table[16] = {/* 0 1 2 3 4 5 6 7*/0xc0, 0xf9, 0xa4, 0xb0, 0x99, 0x92, 0x82, 0xf8,/* 8 9 A B C D E F*/0x80, 0x90, 0x88, 0x83, 0xc6, 0xa1, 0x86, 0x8e };2、扫描控制*((U8*) 0x02000006) = 0x3E; /* 使能第一个数码管 */段码输出*((U8*) 0x02000004) = seg7table[0]; /* 输出数据 0 */思考:简述LED的显示原理以及LED的显示办法静态显示和动态显示各有什么优缺点设计"HELLO"的码表,编写程序循环显示"HELLO"。

数电计数器实验报告

数电计数器实验报告

数电计数器实验报告实验名称:数电计数器实验实验目的:通过实验,了解和掌握数电计数器的原理和工作方式,以及计数器的应用。

实验原理:计数器是一种能够实现数字计数功能的电子元件。

主要由触发器、逻辑门和时钟信号组成。

触发器主要用于储存和传递信号,逻辑门用于控制和处理信号,时钟信号用于控制计数时间。

实验器材:1. 7400四路或五路与门2. 7432四路或五路或六路或七路与非门3. 7474触发器4. 555定时器5. LED灯6. 电源实验步骤:1. 将触发器与逻辑门按照电路图连接,并确保连接正确无误。

2. 将555定时器连接到电路中,并设置合适的时钟频率。

3. 将LED灯连接到电路中,用于显示计数结果。

4. 打开电源,观察LED灯的亮灭情况,并记录计数结果。

5. 可以尝试改变定时器的频率,观察LED灯的计数速度。

实验结果分析:通过实验观察和记录计数结果,可以得出计数器的工作原理和特点。

可以发现,当时钟信号输入时,计数器会根据触发器和逻辑门的控制逻辑实现数字计数功能。

实验结论:1. 数电计数器是一种能够实现数字计数功能的电子元件。

2. 计数器由触发器、逻辑门和时钟信号组成,触发器用于储存和传递信号,逻辑门用于控制和处理信号,时钟信号用于控制计数时间。

3. 数电计数器在实际应用中具有广泛的用途,如计时器、频率计等。

实验中可能遇到的问题和解决方法:1. 连接错误:检查电路连接,确保连接正确无误。

2. LED灯未亮起:检查电路连接,确保连接正确无误。

3. 计数不准确:检查时钟信号的频率,确保设置合适的计数速度。

实验改进思路:1. 尝试使用不同型号的触发器和逻辑门,比较它们的计数效果和特点。

2. 尝试使用其他电子元件,如译码器、多路选择器等,扩展计数器的功能和应用场景。

3. 尝试使用计数器的级联连接,实现更复杂的计数功能和应用。

8位共阳极数码管

8位共阳极数码管

8位共阳极数码管8位共阳极数码管是一种常见的电子元件,用于显示数字和一些字母。

它由8个发光二极管(LED)组成,每个LED代表一个数字或字母的一部分。

在这篇文章中,我们将详细介绍8位共阳极数码管的工作原理、使用方法和应用领域。

一、工作原理1.1 发光二极管发光二极管是一种半导体器件,它能够将电能转化为光能。

在发光二极管中,当电流通过PN结时,会产生电子和空穴的复合,释放出能量并发出光线。

1.2 共阳极与共阴极8位共阳极数码管有两种接线方式:共阳极和共阴极。

在共阳极连接方式下,所有LED的阳极都连接在一起,并且被称为“公共阳极”,而每个LED的阴极则分别连接到不同的引脚上。

当需要显示某个数字或字母时,只需要给对应位置的LED阴极施加负电压,并且给公共阳极施加正电压即可点亮该位置的LED。

1.3 数码管控制芯片为了方便控制8位共阳极数码管,通常会使用数码管控制芯片。

这种芯片能够将输入的数字或字母转化为相应的LED控制信号,并且通过引脚输出给数码管。

一些常见的数码管控制芯片有MAX7219和TM1638。

二、使用方法2.1 连接电路连接8位共阳极数码管需要注意极性,一般来说,红色线为公共阳极,黑色线为阴极。

在连接时应该先将公共阳极连接到正电源上,然后将每个LED的阴极分别连接到对应的引脚上。

2.2 控制信号控制8位共阳极数码管需要输入相应的数字或字母,并且通过数码管控制芯片转化为LED控制信号。

一些常见的控制信号包括:显示数字0-9和字母A-F、显示小数点等。

三、应用领域3.1 计时器和计数器8位共阳极数码管可以用于计时器和计数器中,用于显示时间、计数值等信息。

3.2 电子秤在电子秤中,8位共阳极数码管可以用于显示重量信息。

3.3 温度计在温度计中,8位共阳极数码管可以用于显示温度信息。

3.4 电子钟在电子钟中,8位共阳极数码管可以用于显示时间信息。

3.5 其他应用除了上述应用领域外,8位共阳极数码管还可以用于各种数字显示、计量、监控等场合。

8路抢答器实验报告

8路抢答器实验报告

8路抢答器实验报告1. 实验目的本实验的主要目的是使用8051单片机实现一个8路抢答器,通过此实验进行对8051单片机的基本功能使用的学习与实践。

2. 实验设备本实验所需设备为:8051单片机,LED灯8个,按键8个,继电器8个,电阻8个,电源、面包板、杜邦线等。

3. 实验原理抢答器是一种用来考验大家反应速度的体育游戏,而这种游戏的核心就是一个电子计时器,本实验的抢答器就是一个基于8051单片机的电子计时器。

抢答器的原理:开启定时器,确定一个随机时间,随机时间结束后,第一个按下按键的人获得了抢答的权利,按下按键之后,其它的按键则无法工作。

等到所有的按键都无法工作时,即抢答结束,此时可以利用LED或者接上继电器进行开关控制。

4. 实验步骤4.1 硬件电路连接将8个LED灯和8个按键分别连接到8个口线上,除此之外还需要连接8个电阻和8个继电器,将其连接到端口上,在连接好之后,进行外部与单片机的连接。

根据本实验需要,固定内部高低电平,同时其他单片机口线需要接继电器。

最后将电脑串口线同单片机串口接口相连。

4.2 编写单片机程序在编写单片机程序之前,首先需要对手册进行分析,确定采用的指令集,并开始编写程序。

4.3 配置定时器在本实验中,需要实现的功能是随机时间,所以需要通过定时器来实现,实现方法是使用定时器的计数器,改变计数器的初始值,以达到随机时间的效果。

在对定时器进行初始化之后,对计数器的值进行配置。

4.4 实现抢答器功能首先需要将单片机初始化,在初始化完成后,开始进行随机时间的计算。

每当按下按键之后,需要进行一些特定的操作,比如计算按键时间,进行相应的判断,并激活LED或继电器。

当最后一个按键被按下之后,抢答器即时结束。

这种方式的实现是在倒计时结束之前,抢答器不会被激活,直到倒计时结束后,抢答器才会被激活,同时相应的LED或继电器也会被关闭或关闭。

5. 实验总结通过本次实验,我对8051单片机有了更深刻的认识,了解了使用8051单片机实现抢答器的程序结构和代码实现方式,了解了定时器的使用和编程,掌握了按键的使用和程序控制的方法。

定时器计数器中断综合实验

定时器计数器中断综合实验

报告成绩:教师签字:批改日期:评语:学生实验报告课程名称单片机原理及接口技术姓名实验名称定时器/计数器、中断综合实验班级实验目的掌握51系列单片机中断系统及定时器的工作原理及使用技巧学号实验日期实验内容(1)P1 口做输出口,接八只发光二极管,高电平点亮,控制一个. 方向循环点亮8只LED,每个LED点亮时间为50ms;(2)在以上基础上加外部中断内容,由外部中断请求时,8只LED全亮(3)P1 口做输出口,接八只发光二极管,高电平点亮,控制一个方向循环点亮8只LED,每个LED点亮时间改为2s实验地点实验组号实验设备计算机 wave6000程序 lab2000p试验箱同组人1.实验电路及连线本次试验不做要求2.程序流程图本次实验无3.源程序(1 ORG 0000H MOV TL0,#58HLJMP MAIN SETB EAORG 0003H SETB ET0ORG 000BH SETB TR0LJMP SER0 SJMP $ORG 1000H SER0:MOV TH0,#9EHMAIN: MOV A,#01H MOV TL0,#58HLOOP: MOV P1,A RL AMOV TMOD,#01H MOV P1,AMOV TH0,#9EH RETIEND(2ORG 0000H SER0:MOV TH0,#9EHLJMP MAIN MOV TL0,#58HORG 0003H RL ALJMP SER1 MOV P1,AORG 000BH RETILJMP SER0 SER1:PUSH ACCORG 1000H PUSH PSWMAIN: MOV A,#01H MOV A,#0FFH LOOP: MOV P1,A MOV P1,AMOV TMOD,#01H LCALL DELAY MOV TH0,#9EH POP PSWMOV TL0,#58H POP ACCSETB EA RETISETB ET0 DELAY:MOV R7,#0FFH SETB TR0 L1:MOV R6,#0FAH SETB EX0 DJNZ R6,$SETB IT0 DJNZ R7,L1SJMP $ RETEND(3 ORG 0000H SETB EALJMP MAIN SETB ET0ORG 000BH SETB TR0LJMP SER0 SJMP $ORG 1000H SER0:MOV TH0,#9EH MAIN: MOV A,#01H MOV TL0,#58H LOOP: MOV P1,A DJNZ R0,EXIT MOV R0,#28H MOV R0,#28HMOV TMOD,#01H RL AMOV TH0,#9EH MOV P1,AMOV TL0,#58H EXIT:RETIEND4.结果记录及分析(1)结果: P1 口做输出口,接八只发光二极管,高电平点亮,控制一个方向循环点亮8只LED,每个LED点亮时间为50ms;分析:用定时器方式0,使用定时功能,定时器以中断方式工作。

LED灯实验报告

LED灯实验报告

mcs-51单片机接口技术实验适用:电气类专业本科学生实验报告实验一熟悉proteus仿真模拟器,led花样表演一、实验目的掌握以下方法:1.在proteus的环境下,设计硬件原理图;2.在keilc集成环境下设计c51语言程序;2.在proteus的环境下,将硬件原理图与软件联接仿真运行。

二、实验环境1.个人微机,windows操作系统2.proteus仿真模拟器3.keilc编程三、实验题目基本题:使用8051的并口带动8个led发光二极管显示一种花样表演。

提高题:使用一个键切换实现3种以上花样表演。

四、实验类型:学习、模仿与简单设计型。

五、实验步骤:0、进入isis,先选择需要的元件,然后设计电原理图,保存文件;1、在keilc软件集成环境下编写源程序,编译工程文件;2、将所设计的硬件原理图与目标代码程序相联接;4、按play键,仿真运行程序。

附,可能用到的元件名称:cpu:at89c51或任一种mcs-51家族cpu;晶振:crystal;电容器:capacitors,选22pf 电解电容:cap-elec或genelect10u16v 复位电阻:minres10k限流电阻:minres330r按键:buttonled:led-blue/red/yellow或diode-led (一)接线图如下:(二).基础花样(四)程序流程图(五)c程序#include &lt;reg52.h&gt;#define uint unsigned int#define uchar unsigned char const tab1[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f, /*正向流水灯*/ 0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0xff,};/*反向流水灯*/ const tab2[]={0xff,0x00,0xff,0x00,0xff,0x00,}; void delay(){uint i,j;for(i=0;i&lt;256;i++)for(j=0;j&lt;256;j++){;}}void int1() interrupt 0{uchar i;for (i=0;i&lt;6;i++){ p0=tab2[i];delay();}}void main(void){ex0=1;it0=1;ea=1; while(1){uchar x;for(x=0;x&lt;15;x++){ p0=tab1[x];delay();}}} (六)总结本次实验让我能够熟练的掌握和使用keil和proteus等软件进行编程和仿真,也对流水灯的原理和硬件结构有了更加深刻的认识。

单片机流水灯实验报告

单片机流水灯实验报告

单片机流水灯实验报告单片机流水灯实验报告一、实验目的本实验旨在通过单片机控制八个LED灯,实现流水灯效果。

通过本实验,我们希望达到以下目的:1.深入理解单片机的I/O端口的工作原理和使用方法。

2.掌握单片机定时器/计数器的工作原理和使用方法。

3.学会编写简单的单片机程序,实现特定的LED灯控制。

4.通过实践操作,提高单片机软硬件的综合应用能力。

二、实验设备1.单片机开发板2.电脑一台3.八个LED灯4.杜邦线若干5.电阻、电容等电子元件三、实验原理本实验采用AT89C51单片机作为主控芯片。

八个LED灯分别连接到P1端口的P1.0到P1.7。

通过编程控制P1端口的每一个引脚,实现对LED灯的亮灭控制。

使用定时器/计数器实现延时,达到流水灯效果。

四、实验步骤和内容1.搭建硬件电路将八个LED灯、一个上拉电阻以及相应的杜邦线连接至单片机开发板。

确保电源正确连接,并注意LED灯的长脚为正极,短脚为负极。

2.编写程序使用Keil C51编写程序,实现如下功能:点亮每个LED灯一定的时间,然后熄灭。

重复此过程,形成流水灯效果。

代码如下:#include <reg51.h> //包含51单片机的头文件#define LED P1 //定义LED为P1端口void delay(unsigned int time) //延时函数{unsigned int i,j;for(i=0;i<time;i++)for(j=0;j<1275;j++);}void main() //主函数{while(1) //程序一直循环执行{unsigned char i=0; //定义一个变量i,用于循环控制LED灯while(i<8) //循环点亮每个LED灯{LED=~(0x01<<i); //点亮第i个LED灯delay(50000); //延时50ms(50*1275us)i++; //变量i加1,控制下一个LED灯}}}3.编译程序将程序编译为二进制文件,生成HEX文件。

数码管显示分析范文

数码管显示分析范文

数码管显示分析范文数码管是一种用于显示数字和字符的输出设备,它通常由多个数字显示单元组成,每个数字显示单元由7个发光二极管(LED)组成,排列成数字“8”的形状。

数码管可以用来显示数字、字母和一些特殊字符。

在数码管中,每个数字显示单元的7个LED分别被标记为a、b、c、d、e、f、g。

这些LED可以逐个点亮或熄灭,通过控制它们的亮灭顺序和时间长度,可以实现显示不同的数字和字符。

数码管的显示原理是通过控制每个LED的亮灭,然后通过时间间隔和顺序的变化来显示不同的数字和字符。

数码管使用共阴极或共阳极的结构,其中共阴极表示所有LED的阴极端连接在一起,共阳极表示所有LED的阳极端连接在一起。

通过一个控制电路,我们可以控制每个数码管数字显示单元的亮度和亮灭顺序。

控制电路通常由一个微处理器、时钟和计数器组成。

微处理器负责控制数码管显示的内容和亮度。

时钟用于确定亮灭时间的长度,计数器用于确定亮灭顺序和时间间隔。

在实际应用中,数码管通常用于显示计数器的数值、时钟的时间、温度、湿度等信息。

它还可以用于显示特定的警告或错误信息。

数码管的显示模式有两种,分别是静态显示和动态扫描显示。

静态显示是指每个数字和字符都保持不变的显示在数码管上,这种模式适用于显示静态的信息。

而动态扫描显示是指不同的数字和字符以一定的时间顺序循环显示在数码管上,这种模式适用于显示动态的信息,如计数器和时钟等。

在数字显示中,每个数字和字符的显示都是通过对相应的LED进行亮灭的操作来实现的。

不同的数字和字符显示可以由不同的LED亮灭组合来实现。

例如,数字0的显示可以由a、b、c、d、e、f这6个LED组合实现;数字1的显示可以由b、c这2个LED组合实现。

数码管的显示受到一些限制。

首先,每个数码管只能显示一个数字或字符,要显示多个数字或字符需要使用多个数码管。

其次,数码管的亮度和亮灭时间受到控制电路的限制,无法实现高亮度和高速的显示。

此外,数码管的显示内容也受到控制电路的限制,无法实现复杂的图形和动画显示。

八位数码管显示原理

八位数码管显示原理

八位数码管显示原理八位数码管是一种常用的数字显示器件,它由8个LED(发光二极管)组成,可以显示0-9的数字。

在很多电子设备中,我们经常会见到它的身影,比如计算器、电子钟、电子秤等。

那么,八位数码管是如何实现数字显示的呢?接下来,我们就来详细了解一下八位数码管的显示原理。

首先,我们要了解八位数码管的结构。

八位数码管由8个LED组成,每个LED代表一个数字,从左到右依次为a、b、c、d、e、f、g、dp。

其中,a-g分别代表数字的7段显示,dp代表小数点。

通过控制这些LED的亮灭,就可以显示出不同的数字。

接下来,我们来介绍八位数码管的工作原理。

八位数码管的显示原理是通过控制每个LED的亮灭来显示数字。

通过外部的控制电路,可以控制每个LED的通断,从而显示出不同的数字。

比如,要显示数字0,就需要同时点亮a、b、c、d、e、f这6个LED,而要显示数字1,就只需要点亮b、c这两个LED,其余的LED则熄灭。

通过这种方式,就可以实现数字的显示。

在实际应用中,八位数码管通常会与译码器、计数器等电子元件配合使用。

译码器可以将输入的二进制信号转换为对应的LED控制信号,而计数器可以提供递增的信号,从而实现数字的循环显示。

通过这些电子元件的配合,八位数码管可以实现更加丰富的数字显示功能。

除了显示数字外,八位数码管还可以显示一些字母和符号。

通过合理的控制LED的亮灭,可以显示出A-F这几个字母,以及一些特殊符号,比如减号、加号等。

这样,八位数码管就可以满足更多的显示需求。

总的来说,八位数码管是一种常用的数字显示器件,它通过控制LED的亮灭来显示数字、字母和符号。

在实际应用中,它通常与译码器、计数器等电子元件配合使用,以实现更加丰富的显示功能。

希望通过本文的介绍,能够让大家更加深入地了解八位数码管的显示原理。

数电流水灯实验报告

数电流水灯实验报告

竭诚为您提供优质文档/双击可除数电流水灯实验报告篇一:东南大学数字电路实验报告(五)东南大学电工电子实验中心实验报告课程名称:数字逻辑电路实验第五次实验实验名称:时序逻辑电路设计院(系):电气工程专业:电气工程及自动化姓名:学号:实验室:104实验时间:20XX年12月13日评定成绩:审阅教师:一、实验目的1.2.3.4.掌握时序逻辑电路的一般设计过程;掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求;掌握时序逻辑电路的基本调试方法;熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。

二、实验原理1.时序逻辑电路的特点(与组合电路的区别):——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。

2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器)触发器实现状态机(流水灯中用到)3.时序电路中的时钟1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端)2)时钟产生电路(电容的充放电):在内容3中的32768hz的方波信号需要自己通过电路产生,就是用到此原理。

4.常用时序功能块1)计数器(74161)a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联b)序列发生器——通过与组合逻辑电路配合实现(计数器不必考虑自启动)2)移位寄存器(74194)a)计数器(一定注意能否自启动)b)序列发生器(还是要注意分析能否自启动)三、实验内容1.广告流水灯a.实验要求用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LeD组成,工作时始终为1暗7亮,且这一个暗灯循环右移。

①写出设计过程,画出设计的逻辑电路图,按图搭接电路。

②将单脉冲加到系统时钟端,静态验证实验电路。

③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲cLK、触发器的输出端Q2、Q1、Q0和8个LeD上的波形。

数码管动态扫描显示实验综述

数码管动态扫描显示实验综述

实验三定时器和中断实验一、实验目的1、学习51单片机内部定时器的使用方法。

2、掌握中断处理程序的方法。

3、掌握数码管与单片机的连接方法和简单显示编程方法。

4、学习和理解数码管动态扫描的工作原理。

二、实验内容1、使用定时器T0,定时1秒,控制P1口发光管循环点亮。

2、使用定时器T0,定时1秒,控制1个数码管循环显示数字0~9,每秒钟数字加一。

3、使用软件定时1秒,控制2个数码管循环显示秒数0~59,每秒钟数字加一。

4、使用定时器T0,定时1秒,控制2个数码管循环显示秒数0~59,每秒钟数字加一。

三、实验电路图四、实验说明1、数码管的基本概念(1)段码数码管中的每一段相当于一个发光二极管,8段数码管则具有8个发光二极管。

本次实验使用的是共阴数码管,公共端是1、6,公共端置0,则某段选线置1相应的段就亮。

公共端1控制左面的数码管;公共端6控制右面的数码管。

正面看数码管的引脚、段选线和数据线的对应关系为:图1 数码管封装图图2 数据线与数码管管脚连接关系段码是指在数码管显示某一数字或字符时,在数码管各段所对应的引脚上所加的高低电平按顺序排列所组成的一个数字,它与数码管的类型(共阴、共阳)(2)位码位码也叫位选,用于选中某一位数码管。

在实验图中要使第一个数码管显示数据,应在公共端1上加低电平,即使P2.7口为0,而公共端6上加高电平,即使P2.6口为1。

位码与段码一样和硬件连接有关。

(3)拉电流与灌电流单片机的I/O 口与其他电路连接时,I/O 电流的流向有两种情况:一种是当该I/O 口为高电平时,电流从单片机往外流,称作拉电流;另一种是该I/O 口为低电平时,电流往单片机内流,称为灌电流。

一般I/O 的灌电流负载能力远大于拉电流负载能力,对于一般的51 单片机而言,拉电流最大4mA,灌电流为20mA。

一般在数码管显示电路中采用灌电流方式(用共阳数码管),可以得到更高的亮度。

本实验电路中采用拉电流方式(用共阴数码管)。

计数显示_实验报告

计数显示_实验报告

一、实验目的1. 了解计数显示的基本原理和方法。

2. 掌握计数显示电路的设计与制作。

3. 熟悉计数显示模块的使用。

二、实验原理计数显示是一种将数字信号转换为直观的数字显示的方法。

常见的计数显示方法有LED数码管显示、LCD液晶显示等。

本实验以LED数码管显示为例,介绍计数显示的基本原理。

LED数码管是一种由多个LED灯组成的显示器件,通过控制LED灯的亮与灭来显示数字。

常见的LED数码管有七段式和十四段式两种。

本实验采用七段式LED数码管,其结构如图1所示。

图1 七段式LED数码管结构图计数显示的基本原理如下:1. 计数器:用于记录输入的脉冲信号数量,常见的计数器有十进制计数器、十六进制计数器等。

2. 比较器:将计数器的输出与预设的数值进行比较,当计数器输出等于预设数值时,输出一个信号。

3. 驱动电路:将比较器输出的信号转换为LED数码管所需的驱动信号,驱动LED数码管显示相应的数字。

三、实验仪器与设备1. 实验箱:1套2. LED数码管:1个3. 74LS90计数器:1个4. 74LS20比较器:1个5. 电阻:若干6. 电容:若干7. 信号发生器:1个8. 电源:1个四、实验步骤1. 连接电路:根据实验原理图,将计数器、比较器、驱动电路、LED数码管等元器件连接到实验箱上。

2. 调整参数:根据实验要求,调整计数器的预设数值和比较器的阈值。

3. 测试电路:将信号发生器输出的脉冲信号连接到计数器的输入端,观察LED数码管显示的数字是否正确。

4. 调试电路:根据观察结果,对电路进行调整,直至LED数码管显示的数字正确。

五、实验结果与分析1. 实验结果:连接电路后,调整参数,观察LED数码管显示的数字为预设数值,实验成功。

2. 实验分析:(1)计数器在脉冲信号的作用下,计数器中的数值逐渐增加。

(2)当计数器的数值达到预设数值时,比较器输出一个信号,该信号通过驱动电路驱动LED数码管显示相应的数字。

(3)实验过程中,若LED数码管显示的数字不正确,可能是电路连接错误、元器件损坏或参数设置不当等原因。

八路彩灯控制电路课程设计报告

八路彩灯控制电路课程设计报告

《八路彩灯控制电路》课程设计报告专业:___________________________________________________ 班级:___________________________________________________ 姓名:___________________________________________________ 学号:___________________________________________________ 指导教师: _______________________________________________2014年6月26日目录一、课程设计目的 (3)二、课程设计描述和要求........................................ -3 -(一)彩灯控制器设计要求 ....................... -3 -(二)课程设计的总体要求 ....................... -3 -三、课程设计内容..................................................... -4 -(一)原理分析.......................................... -4 -(二)器件选择 ............................................... -10 -(三)电路连线 (10)1•时钟信号电路 ................................... -102.花型控制信号电路 (11)3•花型演示电路.................................. -12 -四、分析与总结 (13)五、参考书目- ................................................ -15 -八路彩灯控制电路、课程设计目的(一)、熟悉掌握74LS161,74LS194及555定时器组成的多谐振荡器的工作原理,及其实际应用情况。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

日期:
系主任签名:
日期:
说明 课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;
8 个 LED 循环显示、计数器的设计
目录
一、设计任务 .......................................................................................................................................5 二、设计要求 .......................................................................................................................................5 三、设计原理 .......................................................................................................................................5 四、设计步骤 .......................................................................................................................................5
library ieee;
signal f:std_logic;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
begin p_reg:process(clk0)
---1 Hz 分频
entity fenpin is
variable cnt:integer range 1 to 50000;
ELSE F<=F+1;D<='0';
ELSE CLK1<='0';
END IF;END IF;end if;
END IF;END IF;
END PROCESS H1;
END PROCESS H2;
H2:PROCESS(D) 仿真波形图如下
y<='1';
variable cnt2:std_logic;
else
y<='0';end if;
end process p_div;
end if;
end;
在进程中,clk0 为原始频率 50mhz,进程 P_REG 为分频,进程 P_DIV 为整形,最后通过输出 Y 端
口,输出为 1000HZ;
仿真波形如图示
年月日
8 个 LED 循环显示、计数器的设计
长沙学院课程设计鉴定表
姓名
学号
专业 电气工程及其自动化 班级 2
设计题目
8 个 LED 循环显示、计数器的设计
指导教师意见:
指导教师
瞿曌
评定等级: 答辩小组意见:
教师签名:
日期:
评定等级: 教研室意见:
答辩小组长签名:
日期:
系(部)意见:
教研室主任签名:
8 个 LED 循环显示、计数器的设计
else
begin
cnt:=cnt+1; f<='0';
if f'event and f='1' then
end if; end if;
cnt2:=not cnt2;
end process p_reg;
if cnt2='1' then
p_div:process(f)
要求设置 3 个按钮,一个供“开始” 用,一个供“停止”用,一个供系统“复位”用;对 8 个 LED 循环显 示的延续时间进行调整;调整步长自定。系统提供 50MHZ 频率的时钟源。完成该系统的硬件和软件的设 计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身 提交一篇课程设计说明书。
port(clk0: in std_logic;
begin
y: inout std_logic);
if clk0'event and clk0='1' then
end fenpin;
if cnt=25000then
architecture one of fenpin is
cnt:=1; f<='1';
最后,分频是为了将 50mhz 的频率减小,即在 50mhz(clk)出现 n 个上升沿之前另一个 clk1 不翻转, 而在 n 个上升沿时翻转,在 2n 之前一直保持翻转后的状态,到 2n 时,计数器清零,同时再实现翻转,这 样就能做出任意较小的频率实现设计。
四、设计步骤
1)设计分频计数器
首先设计分频计数器,由于要有一个数码管置位工作扫描频率(1000HZ),还要有一个实现计数个 和 led 灯循环显示的频率,即分频要分出两个不同的频率,其中(扫描分频)程序如下:
第2天
设计方案论证

进一步讨论方案, 对设计方案进行必要的修

Байду номын сангаас
第3天
正,方案确定后开始进行 VHDL 语言程序设



第4天
设计 VHDL 语言程序
第 5~9 天
在实验装置上进行硬件测试,对 VHDL 语言 程序进行必要的修正,并进行演示
第 10 天
编写设计说明书
教研室 意见
系(部)主管 领导意见
年月日
一、设计任务
8 个 LED 循环显示、计数器的设计
设计一个 8 个 LED 循环显示,同时数码管也显示 LED 亮的次数。由于系统时钟为 50MHz,直接当 作时钟会由于计数速度太快而无法使发光二极管正常发光,因此首先需要对系统时钟进行分频,然后再以 分频后的时钟作为计数器的计数时钟。
二、设计要求
设 1、VHDL 语言程序设计; 计 2、波形仿真; 工 3、在实验装置上进行硬件测试,并进行演示; 作 4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、 量 仿真分析、硬件测试、调试过程,参考文献、设计总结等。
起止日期(或时间量)
设计内容(或预期目标)
备注
第1天
课题介绍,答疑,收集材料
BEGIN
IF EN='1' AND ZT='0' THEN
IF D'EVENT AND D='1' THEN
if CLK'event and CLK='1'then
CL:=NOT CL;
IF F="11111111" THEN F<=DIN8;D<='1';
IF CL='1' THEN CLK1<='1';
其次,led 灯要实现循环显示,即第一个灯亮之后,在出现一个上升沿脉冲后,第二个灯亮,第一个 灯灭,来一个脉冲移动一个,并实现循环,为了实现循环,设计思路是讲来一次脉冲将最高位补到最低位, 次最高位变成最高位。级设计一个移位寄存器,准确的说是循环移位寄存器。
再者,由于数码管要求是动态显示,显然还要分出一个置位工作扫描频率,由于人的视觉暂留,频率 应该大于 24hz,为了数码管显示效果,我分出了 1000hz 的频率来扫描 8 位数码管的工作。
三、设计原理
首先,要设计一个 8 个 led 灯循环显示的计数器,重要的设计部分在于让 led 灯循环显示,同时在显 示的同时数码管的数加 1。即为要让 led 灯亮的同时,数码管上面的数字加 1。因此,需要在同一个脉冲 下完成计数器加 1,led 灯循环亮一位。
由于系统给定的时钟是 50Mhz,而我们可视的频率为 24hz 内,很显然数码管和 led 灯不能正常工作, 这就涉及到要将 50mhz 频率分频,将频率变小,使计数器和 led 灯能正常工作;
1)设计分频计数器 ......................................................................................................................5 2)设计数控分频 ..........................................................................................................................6 3)LED 灯循环设计 .....................................................................................................................7 4)数码管扫频 ..............................................................................................................................7 5)8 个数码管计数 .......................................................................................................................8 6)引脚分配 ................................................................................................................................10 五、设计流图 .....................................................................................................................................10 六、程序语言 .....................................................................................................................................10 七、设计思考与总结 .........................................................................................................................14 八、 参考文献...................................................................................................................................14
相关文档
最新文档